SECURITIES AND EXCHANGE
COMMISSION
Washington, D.C.
20549
Form 10-K
|
|
|
(Mark One)
|
|
|
þ
|
|
ANNUAL REPORT PURSUANT TO SECTION 13 OR 15(d) OF THE
SECURITIES EXCHANGE ACT OF 1934
|
|
|
For the fiscal year ended
August 28, 2010
|
or
|
o
|
|
TRANSITION REPORT PURSUANT TO SECTION 13 OR 15(d) OF
THE SECURITIES EXCHANGE ACT OF 1934
|
|
|
For the transition period
from to
|
Commission File Number 0-17276
FSI INTERNATIONAL, INC.
(Exact Name of
Registrant as specified in its charter)
|
|
|
|
MINNESOTA
(State or other jurisdiction
of incorporation or organization)
|
|
41-1223238
(I.R.S. Employer
Identification No.)
|
3455
LYMAN BOULEVARD, CHASKA, MINNESOTA
55318-3052
(Address
of principal executive offices and Zip Code)
Registrants telephone number, including area code:
(952) 448-5440
Securities registered pursuant to Section 12(b) of the
Securities Exchange Act:
Title of Each Class
Common Stock, no par value
Name of Exchange on Which Registered:
NASDAQ Global Market
Securities registered pursuant to Section 12(g) of the
Securities Exchange Act:
None
Indicate by a check mark if the Registrant is a well-known
seasoned issuer, as defined in Rule 405 of the Securities
Act of
1933. Yes o No þ
Indicate by a check mark if the Registrant is not required to
file reports pursuant to Section 13 or Section 15(d)
of the Securities Exchange Act of
1934. Yes o No þ
Indicate by check mark whether the Registrant (1) has filed
all reports required to be filed by Section 13 or 15(d) of
the Securities Exchange Act of 1934 during the preceding
12 months (or for such shorter period that the Registrant
was required to file such reports), and (2) has been
subject to such filing requirements for the past
90 days. Yes þ No o
Indicate by a checkmark whether the Registrant has submitted
electronically and posted on its corporate website, if any,
every Interactive Data File required to be submitted and posted
pursuant to Rule 405 of
Regulation S-T
(§232.405 of this chapter) during the preceding
12 months (or for such shorter period that the Registrant
was required to submit and post such
files). Yes o No o
Indicate by check mark if disclosure of delinquent filers
pursuant to Item 405 of
Regulation S-K
(§229.405) is not contained herein, and will not be
contained, to the best of Registrants knowledge, in
definitive proxy or information statements incorporated by
reference in Part III of this
Form 10-K
or any amendment to this
Form 10-K. þ
Indicate by check mark whether the Registrant is a large
accelerated filer, an accelerated filer, a non-accelerated
filer, or a smaller reporting company. See definitions of
accelerated filer, large accelerated
filer and smaller reporting company in Rule
12b-2 of the
Securities Exchange Act of 1934.
|
|
|
|
|
|
|
Large accelerated
filer o
|
|
Accelerated
filer o
|
|
Non-accelerated
filer o
|
|
Smaller reporting
company þ
|
|
|
(Do not check if a smaller
reporting company)
|
Indicate by check mark whether the registrant is a shell company
(as defined in
Rule 12b-2
of
theAct). Yes o No þ
The aggregate market value of the voting common stock held by
non-affiliates of the Registrant, based on the closing price on
February 26, 2010, the last business day of the
Registrants most recently completed second fiscal quarter,
as reported on the NASDAQ Global Market, was approximately
$89,808,000. Shares of common stock held by each officer and
director have been excluded from this computation in that such
persons may be deemed to be affiliates. This amount is provided
only for purposes of this report on
Form 10-K
and does not represent an admission by the Registrant or any
such person as to the status of such person.
As of October 28, 2010, the Registrant had issued and
outstanding 38,544,000 shares of common stock.
DOCUMENTS INCORPORATED BY REFERENCE
Portions of the Registrants definitive proxy statement for
the Annual Meeting of Shareholders to be held on
January 19, 2011 and to be filed within 120 days after
the Registrants fiscal year ended August 28, 2010,
are incorporated by reference into Part III of this
Form 10-K
Report. (The Audit and Finance Committee Report and the
Compensation Committee Report of the Registrants proxy
statement are expressly not incorporated by reference herein.)
PART I
Cautionary
Information Regarding Forward-Looking Statements
Certain statements contained in this report on
Form 10-K
constitute forward-looking statements within the meaning of
Section 21E of the Securities Exchange Act of 1934, as
amended, and are subject to the safe harbor created by that
statute. Typically we identify forward-looking statements by use
of an asterisk *. In some cases, you can identify
forward-looking statements by terminology such as
expects, anticipates,
intends, may, should,
plans, believes, seeks,
estimates, could, would or
the negative of such terms or other comparable terminology. Such
forward-looking statements are based upon current expectations
and beliefs and involve numerous risks and uncertainties, both
known and unknown, that could cause actual events or results to
differ materially from these forward-looking statements. For a
discussion of factors that could cause actual results to differ
materially from those described in this
Form 10-K,
see the discussion of risk factors set forth below in
Item 1.A. of this report. Although we believe that the
expectations reflected in the forward-looking statements are
reasonable as of the date of this report, we cannot guarantee
future results, levels of activity, performance or achievements.
We undertake no duty to update any of the forward-looking
statements after the date of this report.
The Gartner Reports described in this document (the
Gartner Reports) represent data, research opinions
or viewpoints published, as part of a syndicated subscription
service, by Gartner, Inc. (Gartner), a leading
semiconductor equipment industry group, and are not
representations of fact. Each Gartner Report speaks as of its
original publication date (and not as of the date of this
document) and the opinions expressed in the Gartner Reports are
subject to change without notice.
The
Company
FSI International, Inc., a Minnesota corporation organized in
1973 (FSI, the Company, we,
us), designs, manufactures, markets and supports
equipment used in the fabrication of microelectronics, such as
advanced semiconductor devices. In fiscal 2010, we provided
surface conditioning technology solutions and microlithography
systems and support services to worldwide manufacturers of
integrated circuits. FSI manufactures, markets and supports
surface conditioning equipment that uses wet, cryogenic and
other chemistry techniques to clean, strip or etch the surfaces
of silicon wafers and supplies refurbished microlithography
products that are used to deposit and develop light sensitive
films. FSIs business is supported by service groups that
provide finance, human resources, information services, sales
and service, marketing and other administrative functions.
FSI directly sells and services its products in North America,
Europe, and the Asia Pacific region, except for Japan. In Japan,
FSI products are sold and serviced through Apprecia Technology,
Inc. (Apprecia) (formerly known as mFSI LTD),
a company in which FSI maintains a 20 percent equity
ownership.
Industry
Background
The complex process of fabricating semiconductor devices
involves several distinct phases that are repeated numerous
times. Because each production phase typically requires
different processing technologies and equipment, no single
semiconductor equipment supplier currently produces all types of
tools needed to equip an entire
state-of-the-art
fabrication facility. Instead, semiconductor device
manufacturers typically equip their facilities by combining
manufacturing equipment produced by a number of suppliers. Each
set of equipment performs specific functions in the
manufacturing process.
Generally, increasing demand for computer chips, new computer
chip designs, new materials of fabrication and new substrate
(the underlying material upon which a semiconductor device or
integrated circuit is formed) types both size and
composition drives demand for new microelectronics
manufacturing equipment and processes. Industries that use
microelectronics increasingly demand higher performance devices
from manufacturers. Over the last decade, device manufacturers
have reduced the feature size and substantially
2
increased the functionality of individual devices through a
number of technological advances. Many of these advancements are
made possible using the equipment and technologies FSI provides
to the semiconductor industry.
Our business depends upon the microelectronics
manufacturers capital equipment expenditures.
Manufacturers expenditures in turn depend on the current
and anticipated market demand for products that use
microelectronic devices. The microelectronics industry is
cyclical in nature and experiences periodic downturns.
Microelectronics manufacturers require equipment suppliers to
take an increasingly active role in meeting the
manufacturers technology development and capital
productivity requirements. Equipment suppliers satisfy this
requirement by developing and supporting products and processes
required to address the new trends in microelectronics
manufacturing. These trends include development of smaller
geometries, transition to new materials, migration to larger
wafers and wafer level packaging (the technology of packaging an
integrated circuit at wafer level).
As estimated by Gartner in September 2010, purchases of
semiconductor equipment by microelectronics manufacturers
totaled $17 billion in calendar 2009. Based upon the
Gartner forecast in September 2010, spending on semiconductor
equipment is expected to increase by 122% to $37 billion in
calendar 2010 and by 5% from calendar 2010 to $39 billion
in calendar 2011.*
Products
The sales mix between system sales and spare parts and service
sales has varied from year to year. The following table sets
forth, for the periods indicated, the amount of revenues and
approximate percentages of our total revenues for systems and
spare parts and service:
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Fiscal Year Ended
|
|
|
|
August 28,
|
|
|
August 29,
|
|
|
August 30,
|
|
|
|
2010
|
|
|
2009
|
|
|
2008
|
|
|
|
(Dollars in thousands)
|
|
|
Systems
|
|
$
|
63,557
|
|
|
|
69.9
|
%
|
|
$
|
32,879
|
|
|
|
65.1
|
%
|
|
$
|
51,365
|
|
|
|
65.6
|
%
|
Spare parts and service
|
|
|
27,428
|
|
|
|
30.1
|
%
|
|
|
17,605
|
|
|
|
34.9
|
%
|
|
|
26,891
|
|
|
|
34.4
|
%
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
$
|
90,985
|
|
|
|
100.0
|
%
|
|
$
|
50,484
|
|
|
|
100.0
|
%
|
|
$
|
78,256
|
|
|
|
100.0
|
%
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Systems
FSI surface conditioning (SC) systems perform
etching and cleaning operations for:
|
|
|
|
|
front-end-of-line (FEOL) fabrication steps, where
integrated circuits or transistors are formed in and on the
substrate during the manufacturing process;
|
|
|
|
back-end-of-line (BEOL) fabrication steps, where
metal wiring levels are formed on the surface of the wafer and
are connected to the transistors; and
|
|
|
|
wafer-level packaging surface preparation, including cleaning,
etching and stripping functions necessary to fabricate solder
bumps or other terminal structures needed to connect the chip to
the circuit board.
|
Todays most advanced integrated circuit (IC)
manufacturing involves more than 100 surface preparation steps.
Many factors are considered when designing and optimizing a
surface preparation process to meet a particular application
need. These factors can include:
|
|
|
|
|
cleaning and etching goals, which are related to the removal of
wafer contaminants and films;
|
|
|
|
selectivity goals, which are related to leaving desired films
and structures intact; and
|
|
|
|
manufacturing goals, which are related to cost, productivity,
safety and environmental concerns.
|
The priority of each factor in determining the final surface
preparation process can vary widely across the approximately 100
different steps and depends on the contaminants that need to be
removed, the materials that need to be preserved on the wafer
surface, the dimensions of patterned features and overall
process integration.
3
These varied requirements and priorities indicate that no single
surface preparation technology can provide the optimal process
for every surface preparation requirement. FSI offers a range of
technologies that allow us, with our customers, to select and
optimize the best solution for each step. These technologies
include batch and single wafer spray, batch immersion and single
wafer cryogenic aerosol.
Batch Spray Processing Systems. Our
batch spray processing systems, which include the
ZETA®
and
MERCURY®
Spray Cleaning Systems, are sophisticated surface conditioning
systems that remove unwanted films and contaminants from the
surface of semiconductor wafers at various stages in the
microelectronic device fabrication process. Multiple cassettes
that contain up to 27 wafers each are placed onto a turntable
inside the systems process chamber. As the turntable
rotates, dispense ports apply a chemical spray to the
wafers surfaces to dissolve and remove the undesirable
films and contaminants. After chemical application, ultra pure
water is sprayed on the wafer surfaces to rinse away the
chemicals. Multiple chemical and rinse steps may be employed
depending on the customers specific application. The
process sequence is completed with a drying step where a flow of
nitrogen into the chamber dries the wafers and the chamber. Our
control system and chemical mixing manifold allow the user to
define, control and monitor a variety of chemical mixtures,
temperatures and sequences. This enables the user to rapidly
develop new processes and utilize the systems for multiple
applications.
Our batch spray systems achieve
state-of-the-art
performance and are well suited for applications that require
removal of high levels of contamination, such as implanted
photoresist and unreacted salicide metal. Through efficient
mixing and use of chemicals and water packaged in a small
product footprint, customers may realize lower operational costs
using our batch spray systems than with competing systems. ZETA
systems are differentiated from our competition in that they
dispense fresh chemicals during wafer processing as compared to
wet bench systems that may use recirculated chemicals. Fresh
chemical dispense leads to the lowest possible surface
contamination levels, which is critical in the fabrication of
advanced devices.
The ZETA System is a fully-automated batch spray processor
currently available in configurations for both 200 and 300mm
wafers. The ZETA systems advanced process controls,
process capability and automation are ideal for leading
technology nodes, particularly from 90 nanometers
(nm) to 32nm and below. Our ZETA products provide a
reliable, automated environment to move wafers to and from the
process chamber. This tools multi-chemical flow system
allows for a wide range of chemical blend ratios. The system is
also available in a lower cost semi-automated configuration
capable of processing 150 or 200mm wafers.
Offered on ZETA and
ORION®
systems, our
ViPRtm
technology provides the industry with an all-wet non-ashing
implanted resist strip process. Ashing is a method of stripping
photoresist using an excited gas such as oxygen plasma, ozone or
hydrogen-containing plasma. Ashing can cause surface damage and
undesired material loss. ViPR provides a non-ashing alternative
stripping methodology by raising the process chemistry
temperature and reactivity higher than the traditional
processes. The ViPR process is accomplished through FSIs
patented steam injection chemistry.
In 2008, ViPR technology was expanded to include stripping of
unreacted metals for metal silicide process steps, most notably
the nickel platinum silicide process which traditionally used
hazardous aqua regia chemistry. Aqua regia (a mixture of nitric
acid and hydrochloric acid) is also known to attack nickel
platinum silicide degrading 45 and 32nm device performance. ViPR
has demonstrated its ability to efficiently strip the unreacted
metals without attacking the silicide layer.
The
MERCURY®
System is a semi-automated batch spray processor designed for
wafer sizes up to 200mm in diameter and process technologies
through the 90nm node. The system has been widely adopted by the
IC manufacturing industry, with nearly 1,000 systems shipped to
customers since its introduction in 1989. MERCURY systems
provide the benefits of high performance cleaning, etching and
stripping with the added advantage of low capital cost and low
cost of ownership and a small footprint.
Single Wafer Cleaning Systems. Our
newest platform, the ORION Single Wafer Cleaning System, is for
cleaning 300mm semiconductor wafers in a closed chamber, single
wafer environment. The ORION platform uses FSIs core
technologies, including
ViPRtm
technology, in-line chemical blending, energetic aerosol
chemical and water delivery, recipe driven process flexibility
and closed chamber environmental control. Its
4
small footprint modular design has the flexibility to enable
clustering of different chamber types and the extendibility to
add modules to increase maximum throughput. In addition to
offering a highly productive and space efficient cleaning
solution, the systems unique closed chamber permits
control of the environment in which the wafer is processed.
Benefits include elimination of water marks, reduction of
oxidation and related material loss, prevention of galvanic
corrosion of metal film stacks, and the use of our proprietary
ViPR technology to strip implanted photoresist and salicide
metal residues.
Since its introduction in 2008, ORION systems have proven their
ability to enable BEOL copper / low-k interconnect
cleans and have been accepted for 45nm and 32nm manufacturing.
ORION systems are also currently being used in 22nm development
activities.
CryoKinetic Processing Systems. Our
ANTARES®
CryoKinetic Cleaning System is a fully automated, single wafer
cleaning platform designed for 200 and 300mm wafers. CryoKinetic
cleaning is a physical energy transfer process used to remove
non- chemically bonded particles from the surface of a
microelectronic device. These systems offer a field-proven
history of removing surface particle defects and improving
customer yields. The ANTARES system uses an all-dry
non-chemically reactive method for removing defects from all
surface types from the beginning to the end of the device
manufacturing process. Of particular benefit to our customers is
its inherent compatibility with new device materials and
increasingly smaller device features.
CryoKinetic clean technology allows our customers to insert
particle removal steps in the manufacturing line where previous
or traditional wet cleaning and scrubber methods have been
phased out due to their incompatibility with new materials and
their propensity to cause watermark residue and surface charge
defects. Implementing the CryoKinetic clean technology allows
our customers to recover yield that would normally be lost where
traditional approaches cannot be used, such as after in-line
electrical testing of wafers. In-line testing creates debris on
the wafer surface that cannot be removed with traditional
cleaning methods due to the sensitivity of the exposed materials
(copper and low-k dielectrics). The ANTARES system can eliminate
defects created by in-line electrical probing so IC makers can
collect electrical test data without scrapping wafers.
We believe the technical capabilities of the ANTARES system
extend beyond current technology nodes and may result in
increased customer acceptance due to the limitations of wet
scrubbing methods.*
Immersion Processing Systems. Immersion
cleaning systems are used to clean silicon wafers by immersing
wafers in multiple tanks filled with process chemicals. These
systems enable the implementation of high performance isopropyl
alcohol (IPA) assisted drying to meet the critical
cleaning requirements for 90, 65, and 45nm technology nodes. Our
MAGELLAN®
Immersion Cleaning System is a fully automated immersion
cleaning product designed for either 200 or 300mm wafers at
advanced technology nodes and is capable of multiple cleans,
including critical clean, resist strip and etch. We believe this
system compares favorably to competing systems through its
process performance, flexibility, extendibility, and rapid cycle
time in a footprint that is smaller than the leading competition
when configured for specific applications. The MAGELLAN
Immersion Cleaning System incorporates a portfolio of exclusive
intellectual property, including our Surface Tension Gradient
(STG®)
rinse/dry technology,
SymFlow®
etch technology, ozone oxide re-growth technology, and
narrow-gate-compatible
MegaLenstm
Acoustic Diffuser megasonic cleaning technology. The MAGELLAN
System is qualified for several processes including FEOL
critical clean, FEOL photoresist strip and post-ash clean, as
well as oxide etch and nitride etch.
Resist Processing Systems. Our
POLARIS®
Microlithography System is used to deposit polyimide resist and
photoresist, light-sensitive, etch-resistant materials used to
transfer an image to the surface of a silicon wafer, or similar
material wafer, and then bake, chill and develop the deposited
material after exposure. We are focused on providing cost
effective solutions to our existing base of POLARIS system
customers and for specialized markets, including wafer level
packaging, MEMS, and thin film media storage devices. Through
our POLARIS Refresh
Programtm,
in which customers can purchase pre-owned, certified POLARIS
clusters (an integrated environmentally isolated manufacturing
system consisting of process, transport, and cassette modules
mechanically linked together) made of both new
and/or
re-manufactured modules. This allows customers to add capacity
for a lower capital investment. The ratio of new to pre-owned
modules is based on customer expectations and the availability
of used modules. These systems are able to accommodate a variety
5
of processes and can be purchased in a new configuration or a
system can be reconfigured and upgraded to match previously
installed configurations.
Spare
Parts and Service
We offer system and subsystem upgrade packages, spare part kits,
individual spare part components, robot refurbishment and
replacement, and support services that provide product and
process enhancements to extend the life of previously purchased
and installed systems. Our customer service and process
engineers assist and train customers worldwide to perform
preventive maintenance on, and to service, our equipment. In
addition, our process engineering groups develop process
applications to expand the capabilities of our equipment. These
upgrade and spare part packages and support service programs
enable our worldwide customers to realize a higher return on
their capital investment. We sell a variety of process, service
and maintenance programs. A number of customers have purchased
maintenance contracts in which our service employees work at the
customers facility to provide process service and
maintenance support for our equipment.
Backlog
and Seasonality
Our backlog consists of customer purchase orders with delivery
dates within the next 12 months. Our backlog was
$16.0 million at fiscal 2010 year-end and
$7.9 million at fiscal 2009 year-end. Approximately
46% of our backlog at fiscal 2010 year-end was comprised of
orders from two customers. Approximately 71% of our backlog at
fiscal 2009 year-end was comprised of orders from two other
customers. All orders are subject to cancellation by the
customer and in some cases a penalty provision could apply to a
cancellation.
In fiscal 2010 and 2009, no significant purchase orders were
canceled. Because of the timing and relative size of certain
orders we receive and possible changes in delivery schedules and
order cancellations, our backlog can vary from time to time so
that backlog as of any particular date is not necessarily
indicative of actual sales for any subsequent period. Our
business is cyclical but is not seasonal to any significant
extent.
Research
and Development
We believe that our future success depends in large part on our
ability to enhance and advance, in collaboration with our
customers and other equipment and materials manufacturers, our
existing SC product lines to meet the changing needs of
microelectronics manufacturers. We believe that industry trends,
such as the use of smaller circuit geometries, the increased use
of larger substrates and manufacturers increased desire
for integrated processing equipment, will make highly automated
and integrated systems, including single substrate processing
systems, more important to customers. For assistance in our
development efforts, we maintain relationships with our
customers and industry consortium, who help identify and analyze
industry trends and assess how our development activities meet
the industrys advanced technology needs.
Our current research and development programs are focused on
creating new processes and technologies for cleaning substrates
without damaging the increasingly smaller patterned features
being used for the most advanced IC devices. We are also
conducting programs to increase process control and flexibility
through monitoring and software management systems and process
automation, robotics automation in the cleanroom, and
integration of our product offerings with other suppliers
products. Each of these programs involves collaboration with
customers and other equipment manufacturers to ensure proper
machine configuration and process development to meet industry
requirements.
We maintain an 8,000-square-foot,
state-of-the-art
demonstration and process development laboratory for our SC
business at our Chaska, Minnesota facility. In addition, we
lease 6,000 square feet of laboratory and office space in
Allen, Texas for demonstration of our POLARIS resist processing
products.
Expenditures for research and development, which are expensed as
incurred, during fiscal 2010 were approximately
$12.7 million, representing 14.0% of total sales.
Expenditures for research and development during fiscal 2009
were approximately $14.7 million, representing 29.1% of
total sales, and expenditures for research and development
during fiscal 2008 were approximately $19.0 million,
representing 24.2% of total sales.
6
We expect to continue to make substantial investments in
research and development.* We also recognize the importance of
managing product transitions successfully, as the introduction
of new products could adversely affect sales of existing
products.
Marketing,
Sales and Support
We market our products worldwide to manufacturers of
microelectronic devices. Our marketing and sales efforts are
focused on building long-term collaborative relationships with
our customers. These efforts are supported by marketing, sales,
and service personnel, along with applications engineers. Our
worldwide teams work collaboratively with individual IC
manufacturers, in FSI process laboratories and at customer
sites, to integrate FSI developed products and process
innovations into customer process flows and optimize them
according to customer priorities.
During fiscal 2010, we directly sold and serviced our products
in North America, Europe and the Asia Pacific region, and
through Apprecia in Japan.
By providing a full portfolio of direct support services, we are
able to develop stronger customer relationships and our
customers continue to show greater interest in expanding beyond
their current use of our traditional spray cleaning technologies
to include new FEOL and BEOL applications for batch and single
wafer spray, as well as employing our advanced immersion and
CryoKinetic technologies. Our increased responsiveness on the
local level has resulted in closer working relationships with IC
makers throughout the world for 65nm production and 45nm, 32nm
and 22nm development projects.
Manufacturing,
Raw Materials and Suppliers
We maintain manufacturing facilities in Chaska, Minnesota and
Allen, Texas. We typically assemble our products and systems
from components and prefabricated parts manufactured and
supplied by others, including process controllers, robots,
integrated circuits, power supplies, stainless steel pressure
vessels, chamber bowls, valves and relays. Certain items
manufactured by third parties are custom-made to our
specifications. Typically, final assembly and systems tests are
performed by our manufacturing personnel. Quality control is
maintained through quality assurance programs with suppliers,
incoming inspection of components, in-process inspection during
equipment assembly, and final inspection and operation of
manufactured equipment prior to shipment. We have a company-wide
quality program in place, utilizing many of the key processes
developed when we received ISO 9001 certification in 1994, ISO
9000:2000 certification in 2003 and ISO 14001:2004 certification
in 2003 (which certifications expired in November 2008 with
respect to ISO 9001 and ISO 9000:2000, and April 2009 with
respect to ISO 14001:2004, when we decided to stop paying the
required maintenance fee).
Certain components and subassemblies included in our products
are obtained from a single supplier or a limited group of
suppliers to ensure overall quality and delivery timeliness. We
purchased approximately 23% of our fiscal 2010, approximately
25% of our fiscal 2009 and approximately 23% of our fiscal 2008
inventory purchases from two suppliers. Although we seek to
reduce dependence on sole and limited-source suppliers,
disruption or termination of certain of our inventory sources
could have a temporary adverse effect on our operations. We
believe that alternative sources could be obtained and qualified
to supply these products, if necessary, but that production
delays would likely occur in some cases.* Further, a prolonged
inability to obtain certain components could have an adverse
effect on our operating results, delay scheduled deliveries and
damage our customer relationships.*
Competition
The semiconductor equipment industry is very competitive and
marked by continuous technological challenges. Significant
competitive factors in the equipment market include system
price, which encompasses total cost of ownership, quality,
process performance, reliability, flexibility, extendibility,
process or tool of record, and customer support.
7
Many of our established competitors have greater financial,
engineering, research, development, manufacturing, marketing,
service and support resources. To remain competitive, we must
invest in research and development, marketing, customer service
and support programs, and also manage our operating expenses. We
cannot ensure that we will have sufficient resources to continue
to make these investments or that our products will continue to
be viewed as competitive as a result of technological advances
by existing or new competitors or due to changes in
semiconductor technology.
Our products compete with, among others, the products of
DaiNippon Screen Manufacturing Co. Ltd., Lam Research, SEMES Co.
LTD, Tokyo Electron Ltd. and several smaller companies. In
addition, we compete with various small equipment refurbishment,
equipment maintenance and spare parts providers.
Customers
We sell products from one or more of our product lines to most
major microelectronics manufacturers. We have an extensive
history of sales to several of the largest IC manufacturers and
over 100 active customers worldwide. The following customers
each accounted for 10% or more of our total sales in one or more
of fiscal 2010, 2009 and 2008:
|
|
|
|
|
|
|
|
|
|
|
|
|
Customer
|
|
Fiscal 2010
|
|
Fiscal 2009
|
|
Fiscal 2008
|
|
Samsung Electronics
|
|
|
32
|
%
|
|
|
34
|
%
|
|
|
19
|
%
|
Hynix Semiconductor, Inc.
|
|
|
11
|
%
|
|
|
u
|
|
|
|
u
|
|
ST Microelectronics
|
|
|
u
|
|
|
|
u
|
|
|
|
12
|
%
|
|
|
u |
Customer accounted for less than 10% of our total sales during
the fiscal year.
|
The loss of any of these customers could have a material adverse
effect on our operations. We have experienced, and expect to
continue to experience, fluctuations in our customer mix.* The
timing of an order for our equipment is primarily dependent upon
the customers expansion program, replacement needs, or
requirements to improve productivity and yields. Consequently, a
customer who places significant orders in one year will not
necessarily place significant orders in subsequent years.
Under the distribution agreement entered into on May 15,
2007 with Apprecia, Apprecia has exclusive distribution rights
for five years with respect to our SC products in Japan. Prior
to its expiration, the distribution agreement with Apprecia may
be terminated only upon the occurrence of certain events or
conditions or as otherwise mutually agreed. Starting in fiscal
2009, Apprecia was subject to a minimum purchase obligation.
Apprecia did not achieve the minimum purchase obligation in
fiscal 2010 or fiscal 2009, and therefore, we have the right to
terminate the agreement in accordance with its terms and
conditions. We are not currently electing to terminate the
agreement; however, we may elect to do so in the future if
subsequent purchase obligations are not met.
Patents,
Trademarks and Intellectual Property
Our success depends upon a variety of factors, including
proprietary technology. It is important to protect our
technology by obtaining and enforcing patents. Consequently, we
have an active program to file patent applications in the United
States and other countries on inventions we consider
significant. We also possess other proprietary intellectual
property, including trademarks, know-how, trade secrets and
copyrights. We also protect our proprietary information through
confidentiality agreements with our employees and various third
parties.
We have a number of patents in the United States and other
countries, with additional applications pending. These patents
may be challenged, invalidated or circumvented, or may not
provide any competitive advantages to us. Pending applications
may not result in patents and the claims allowed in future
patents may not be sufficiently broad to protect our technology.
The laws of some foreign countries may not permit the protection
of our proprietary rights to the same extent as under the laws
of the United States. We believe that the protections afforded
by our patents, patent applications, and other intellectual
property rights have value. Because of rapidly changing
technology, our future success depends on the know-how of our
employees.
8
In the normal course of business, we occasionally receive and
make inquiries about possible patent infringement. In dealing
with such inquiries, it may be necessary or useful for us to
obtain or grant licenses or other rights. However, we cannot
ensure that such license rights will be available to us on
commercially reasonable terms, or even at all. The inability to
obtain certain license or other rights, or to obtain such
licenses or rights on favorable terms, or the need to engage in
litigation could have a material adverse effect on us.
We offer our POLARIS system pursuant to a non-exclusive license
from Texas Instruments Incorporated (TI). We have
converted the license to a fully
paid-up,
worldwide license to sell and manufacture the POLARIS system. We
also have the non-exclusive right to manufacture and sell
related TI modules. The license agreement with TI continues
until terminated by either party upon a breach by the other, and
the failure to cure, in accordance with the terms of the
agreement.
We offer our ANTARES CX Cleaning System under license agreements
from IBM Corporation. The licenses require certain minimum and
system-based royalties. Royalties are based on the royalty
portion revenues of licensed equipment that excludes
amounts for freight, taxes, customers duties, insurance,
discounts, and certain equipment not manufactured by us.
As of August 28, 2010, we had 65 U.S. patents.
Expiration dates for these patents range from
September 2012 to February 2027. In addition, we have
17 pending U.S. patent applications in various stages of
the patent examination process.
Employees
As of August 29, 2010, we had 293 full and part-time
employees. Competition for highly skilled employees is intense.
We believe that our future success depends upon our continued
ability to retain and attract qualified employees. We are not
subject to any collective bargaining agreements in the United
States and have never been subject to a work stoppage. We are
subject to collective bargaining agreements in Italy and France
covering approximately 12 employees. We have never been
subject to a work stoppage in Italy or France.
Environmental
Matters
We implemented an enterprise-wide program to actively engage our
employees to develop ways to, and emphasize the importance of,
protecting the environment in everyday life at FSI. Our programs
include recycling, water use reductions, chemical handling
processes and equipment design for the environment.
We are subject to a variety of governmental regulations related
to the discharge or disposal of toxic, volatile or otherwise
hazardous chemicals used in the manufacturing and product
development process. We believe that we are in compliance with
these regulations and that we have obtained all necessary
environmental permits to conduct our business. These permits
generally relate to the disposal of hazardous wastes. If we fail
to comply with present or future regulations, fines could be
imposed, production and product development could be suspended,
or operations could cease. Such regulations could require us to
acquire significant equipment or take other actions necessary to
comply with environmental regulations at a potentially
significant cost. If we fail to control the use of, or
adequately restrict the discharge and disposal of, hazardous
substances, we could incur future liabilities.
We believe that compliance with federal, state and local
provisions regulating the discharge of materials into the
environment, or otherwise relating to the protection of the
environment, will not have a material effect upon our capital
expenditures, earnings or competitive position.*
International
Sales
International sales accounted for approximately 64% of total
sales in fiscal 2010, 71% of total sales in fiscal 2009, and 76%
of total sales in fiscal 2008. Additional information on our
international sales for each of the last three fiscal years is
disclosed in Note 12 of the Notes to Consolidated Financial
Statements included in Item 8 of this report.
9
Available
Information
Our annual reports on
Form 10-K,
quarterly reports on
Form 10-Q,
current reports on
Form 8-K,
and amendments to those reports filed or furnished pursuant to
Section 13(a) or 15(d) of the Exchange Act of 1934 are
available free of charge on our website at www.fsi-intl.com as
soon as reasonably practicable after such reports have been
filed with or furnished to the Securities and Exchange
Commission. The public may read and copy any materials we file
with the SEC at the SECs Public Reference Room at
100 F Street, NE, Washington, DC 20549, on official
business days during the hours of 10:00 am to 3:00 pm. The
public may obtain information on the operation of the Public
Reference Room by calling the SEC at
1-800-SEC-0330.
The SEC maintains an Internet site,
http://www.sec.gov,
that contains reports, proxy and information statements, and
other information regarding issuers that file electronically
with the SEC.
Our business faces significant risks. The risks described below
are not the only risks we face. Additional risks and
uncertainties not presently known to us or that we currently
believe are immaterial also may impair our business operations.
If any of the events or circumstances described in the following
risks occurs, our business, operating results or financial
condition could be materially adversely affected. The following
risk factors should be read in conjunction with the other
information and risks set forth in this report.
Volatility
in the global economy could adversely affect our business and
operating results.
Financial markets in the United States, Europe and Asia have
experienced extreme disruption in the past, including, among
other things, volatility in securities prices, severely
diminished liquidity and credit availability, rating downgrades
of certain investments and declining valuation of others,
declines in consumer confidence, declines in economic growth,
increases in unemployment rates, and uncertainty about economic
stability. These conditions have had a significant adverse
impact on our industry and financial condition and results of
operations. Further changes in the global economy could lead to
challenges in our business and negatively impact our financial
condition and results of operations. A tightening of credit in
financial markets adversely affects the ability of our customers
and suppliers to obtain financing for significant purchases and
operations and could result in a decrease in orders and spending
for our products and services. We are unable to predict the
likely duration and severity of any disruption in financial
markets and adverse economic conditions and the effects they may
have on our business and financial condition. Uncertain economic
conditions could materially and adversely affect our business,
financial condition and results of operations.
Because
our business depends on the amount that manufacturers of
microelectronics spend on capital equipment, downturns in the
microelectronics industry may adversely affect our business and
operating results.
The microelectronics industry experiences periodic downturns,
which may have a negative effect on our business and our sales
and other operating results. Our business depends on the amounts
that manufacturers of microelectronics spend on capital
equipment. The amounts they spend on capital equipment depend on
the existing and expected demand for semiconductor devices and
products that use semiconductor devices. When a downturn occurs,
some semiconductor manufacturers experience lower demand and
increased pricing pressure for their products. As a result, they
are likely to purchase less semiconductor processing equipment
and have sometimes delayed making decisions to purchase capital
equipment. In some cases, semiconductor manufacturers have
canceled or delayed orders for our products. Historically, the
semiconductor equipment industry has experienced more pronounced
decreases in net sales than the semiconductor industry as a
whole.
We have in the past experienced downturns in orders for new
equipment as well as delays in or cancellations of existing
orders. We cannot predict the extent and length of any future
softening in the industry.
10
We
have, and expect to continue to have, significant working
capital needs.
We have and expect to continue to have substantial capital
expenditure and working capital needs. We do not have any
revolving line of credit or other form of debt financing. In the
future, if more cash is needed to fund operations than expected,
we encounter unanticipated problems or expenses in our business,
or we decide to make an investment in, or acquire, businesses,
products or technologies, we may need to take additional
cash-generating actions. Such actions may include the
establishment of a financing facility or the sale of equity or
debt securities. Depending on market conditions, it could be
difficult for us to raise the additional cash needed without
incurring significant dilution to our existing shareholders or
agreeing to significant restrictions on our ability to operate
as currently planned.
Our
actual results may vary from the guidance we provide investors,
which could cause our stock price to decline and subject us to
lawsuits from investors.
We provide earnings guidance from time to time. For a variety of
reasons, our results of operations are difficult to predict and
may vary significantly from quarter to quarter. Our ability to
achieve forecasted results depends on a number of factors,
including our assumptions regarding future performance, many of
which are entirely outside of our control. Due to the
uncertainties relating to assumptions that management makes in
calculating our expected financial results, actual results may
vary from the guidance we provide investors and may vary
materially. Investors are cautioned not to place undue reliance
on our earnings guidance. In addition, because we provide
earnings guidance from time to time, our common stock may be
subject to increased volatility and we may be subject to
lawsuits by investors. Our stock price may decline following an
announcement of disappointing earnings or earnings guidance or
if we revise our earnings guidance downward as the estimates and
assumptions we make in calculating guidance become more certain.
Also, some companies that have made downward revisions to their
earnings guidance or did not meet the guidance provided have
been subject to lawsuits by investors. Such lawsuits may have
merit and result in adverse settlements or judgments. Even if
such lawsuits are dismissed or have no merit, they may be costly
and may divert management attention and other resources away
from our business, which could harm our business and the price
of our common stock.
We
have incurred significant net losses in the past, our future
revenues are inherently unpredictable, and we may be unable to
maintain profitability.
We have incurred significant net losses in the past. Our
operating results for future periods are subject to numerous
uncertainties, and we cannot assure that we will be able to
maintain profitability. It is possible that in future quarters
our operating results will decrease from the previous quarter or
fall below the expectations of securities analysts and
investors. In this event, the trading price of our common stock
could significantly decline. Further, exacerbated or continuing
declines in net income or increases in net losses could affect
our operating results, liquidity or financial condition.
The
current economic environment and the fact that we derive a
significant percentage of our quarterly revenues from bookings
received during the quarter and from shipments made in the final
weeks of the quarter make our quarterly revenues difficult to
predict.
Our quarterly revenues and operating results are affected, both
positively and negatively, by fluctuations in general economic
conditions and in the specific economic conditions affecting the
semiconductor industry. Although we believe overall conditions
in the worldwide economy and financial markets in general, and
in the semiconductor industry in particular, have improved
recently, our visibility continues to be limited and forecasting
remains extremely difficult. We derive a significant percentage
of our quarterly revenues from bookings received during the
quarter and from shipments made in the final weeks of the
quarter, making quarterly revenues difficult to predict. We
generate a significant percentage of our quarterly revenues from
orders received during the quarter and turned for
shipment within the quarter. Any shortfall in expected
turns orders will adversely affect quarterly
revenues. There are many factors that can cause a shortfall in
turns orders, including declines in general economic conditions
or the businesses of our customers. In addition, we sometimes
book a disproportionately large percentage of turns orders
during the final weeks of the quarter.
11
Any failure to receive, or delay in receiving, expected turns
orders would adversely (and perhaps materially) affect quarterly
revenues. We sometimes ship a disproportionately large
percentage of our quarterly revenues during the final weeks of
the quarter, and any delays in making those shipments are more
likely to cause them to slip into the following quarter. Any
failure to effect scheduled shipments by the end of a quarter
would adversely affect quarterly revenues.
We
derive our revenues primarily from a relatively small number of
high-priced systems, sales of which significantly affect our
quarterly operating results.
System sales constitute a significant portion of our total
revenue. Our systems are priced from approximately $500,000 to
up to $5 million per unit, and our revenues in any given
quarter are dependent upon a rather limited number of such
systems. As a result, the inability to recognize revenue on even
a few systems can cause a significant adverse impact on our
revenues for that quarter.
We
have a limited number of key customers, which may subject us to
unpredictable revenue swings.
Sales to a limited number of large customers constitute a
significant portion of our overall revenue, new orders and
profitability. As a result, the actions of even one customer may
subject us to revenue swings that are difficult to predict.
Similarly, significant portions of our credit risk may, at any
given time, be concentrated among a limited number of customers,
so that the failure of even one of these key customers to pay
its obligations to us could significantly impact our cash flow
and operating results.
Failure
of our products to gain market acceptance would adversely affect
our business, operating results and financial
condition.
We believe that our growth prospects depend upon our ability to
gain customer acceptance of our products and technology,
particularly newly developed products, such as our
ORION®
Single Wafer Cleaning System. Market acceptance of products
depends upon numerous factors, including:
|
|
|
|
|
compatibility with existing manufacturing processes and products;
|
|
|
|
ability to displace incumbent suppliers or processes or tools of
record;
|
|
|
|
perceived advantages over competing products; and
|
|
|
|
the level of customer service available to support such products.
|
Moreover, manufacturers often rely on a limited number of
equipment vendors to meet their manufacturing equipment needs.
As a result, market acceptance of our products may be affected
adversely to the extent potential customers utilize a
competitors manufacturing equipment. There can be no
assurance that sales of new products will remain constant or
grow or that we will be successful in obtaining broad market
acceptance of our systems and technology.
We expect to spend a significant amount of time and resources to
develop new systems and enhance existing systems. In light of
the long product development cycles inherent in our industry, we
will make these expenditures well in advance of the prospect of
deriving revenue from the sale of any new systems. Our ability
to commercially introduce and successfully market any new
systems is subject to a wide variety of challenges during this
development cycle, including
start-up
bugs, design defects and other matters that could delay
introduction of these systems to the marketplace. In addition,
since our customers are not obligated by long-term contracts to
purchase our systems, our anticipated product orders may not
materialize or orders that do materialize may be canceled. As a
result, if we do not achieve market acceptance of new products,
we may not be able to realize sufficient sales of our systems in
order to recoup research and development expenditures. The
failure of any of our new products, for example the
ORION®,
to achieve market acceptance would harm our business, operating
results and financial condition.
12
If we
do not continue to develop new products and processes, we will
not be able to compete effectively.
Our business and results of operations could decline if we do
not develop and successfully introduce new or improved products
and processes that the market accepts. The technology used in
microelectronics manufacturing equipment and processes changes
rapidly. For example, the industry has started to shift towards
single wafer processes from batch processes. If this trend
occurs more rapidly than anticipated, it could negatively impact
our operating results. Industry standards change constantly and
equipment manufacturers frequently introduce new products and
processes. We believe that microelectronics manufacturers
increasingly rely on equipment manufacturers like us to:
|
|
|
|
|
design and develop more efficient manufacturing equipment;
|
|
|
|
design and implement improved processes for microelectronics
manufacturers to use; and
|
|
|
|
make their equipment compatible with equipment made by other
equipment manufacturers.
|
To compete, we must continue to develop, manufacture, and market
new or improved products and processes that meet changing
industry standards. To do this successfully, we must:
|
|
|
|
|
select appropriate products;
|
|
|
|
design and develop our products efficiently and quickly;
|
|
|
|
implement our manufacturing and assembly processes efficiently
and on time;
|
|
|
|
make products that perform well for our customers;
|
|
|
|
market and sell our products effectively; and
|
|
|
|
introduce our new products in a way that does not unexpectedly
reduce sales of our existing products.
|
Because
we do not have long-term sales commitments with our customers,
our operating results will be adversely affected if customers
decide to reduce, delay or cancel orders or choose to buy from
our competitors.
We depend and expect to continue to depend on a limited number
of customers for a large portion of our business, and if our
significant customers reduce, delay, or cancel orders, then our
operating results could suffer. Our largest customers have
changed from year to year, however, sales to our top five
customers accounted for approximately 63% of total revenues in
fiscal 2010, 55% of total revenues in fiscal 2009 and 51% of
total revenues in fiscal 2008. Samsung Electronics accounted for
approximately 32% of our total revenues in fiscal 2010,
approximately 34% of our total revenues in fiscal 2009 and 19%
of our total revenues in fiscal 2008. Hynix accounted for
approximately 11% of our total revenues in fiscal 2010. ST
Microelectronics accounted for approximately 12% of our total
revenues in fiscal 2008. We currently have no long-term sales
commitments with any of our customers. Instead, we generally
make sales under purchase orders. All orders are subject to
cancellation or delay by the customer.
Our
licensing practices related to international spare parts sales
may subject us to fines and could reduce our ability to be
competitive in certain countries.
In addition to offering our customers microelectronics
manufacturing equipment, we provide replacement spare parts,
spare part kits and assemblies. In late calendar 2006, we
determined that certain of our replacement valves, pumps and
heaters could fall within the scope of United States export
licensing regulations to products that could be used in
connection with chemical weapons processes. We determined that
these regulations require us to obtain licenses to ship some of
our replacement spare parts, spare part kits and assemblies to
customers in certain controlled countries as defined in the
export licensing regulations. During the second quarter of
fiscal 2007, we were granted licenses to ship replacement spare
parts, spare parts kits and assemblies to all customers in the
controlled countries where we currently conduct business.
The applicable export licensing regulations frequently change.
Moreover, the types and categories of products that are subject
to export licensing are often described in the regulations in
general terms and could
13
be subject to differing interpretations. If we do not maintain
the appropriate export licenses, our business and results of
operations could be adversely affected and we could be subjected
to significant fines.
In the second quarter of fiscal 2007, we made a voluntary
disclosure to the United States Department of Commerce to
clarify our licensing practices and to review our practices with
respect to prior sales of certain replacement valves, pumps and
heaters to customers in several controlled countries as defined
in the licensing regulations.
In October 2009, we entered into a settlement agreement with the
Office of Export Enforcement, for $450,000. We paid $5,000 per
month for ten months beginning in November 2009. The remaining
$400,000 owed under the settlement was suspended for
12 months. The
12-month
suspension period expired October 29, 2010, and we expect
to be released from further payments, including the suspended
$400,000.
Product
or process development problems could harm our results of
operations.
Our products are complex, and from time to time have defects or
bugs that are difficult and costly to fix. This can harm our
results of operations in the following ways:
|
|
|
|
|
we may incur substantial costs to ensure the functionality and
reliability of products early in their life cycle;
|
|
|
|
repeated defects or bugs can reduce orders, increase
manufacturing costs, adversely impact working capital and
increase service and warranty expenses;
|
|
|
|
we may require significant lead times between product
introduction and commercialization;
|
|
|
|
harm our credibility with existing customers; and
|
|
|
|
lead to commercial
and/or
product liability as a result of lawsuits.
|
As a result, we may have to write off inventory and other assets
related to products and could lose customers and revenue. There
is no assurance that we will be successful in preventing product
and process development problems that could potentially harm our
results of operations.
If the
worsening of credit market conditions continues or increases, it
could have a material adverse impact on our investment
portfolio.
The short-term funding credit issues that began during the
second half of calendar 2007 continue to impact liquidity in
asset-backed commercial paper and to cause failed auctions in
the auction rate securities market. If the global credit market
continues to deteriorate, our investment portfolio may be
impacted and we could determine that some of our investments are
impaired. This could materially adversely impact our results of
operations and financial condition.
Our investment portfolio includes auction rate securities
(ARS). The ARS we currently hold have contractual
maturities between 25 to 33 years. ARS are usually found in
the form of municipal bonds, preferred stock, a pool of student
loans or collateralized debt obligations. The interest rates of
our ARS are reset every 28 days through an auction process
and at the end of each reset period, investors can sell or
continue to hold the securities at par.
The $3.8 million par value ARS we hold are backed by
student loans and are collateralized, insured and guaranteed by
the United States Federal Department of Education. In addition,
all ARS held by us are rated by the major independent rating
agencies and carry investment grade ratings and have not
experienced any payment defaults.
Beginning in the second quarter of fiscal 2008, all of our ARS
experienced failed auctions due to sell orders exceeding buy
orders. Under the contractual terms, the issuer is obligated to
pay penalty interest rates should an auction fail. We cannot
liquidate our ARS until a successful auction occurs, the issuer
redeems the ARS, a buyer is found outside of the auction process
or the underlying securities have matured.
14
There is no assurance that future auctions of our ARS will be
successful. As a result, our ability to voluntarily liquidate
and recover the carrying value of some or all of the ARS we hold
may be limited for an indefinite period of time. If an issuer of
our ARS is unable to successfully close future auctions or does
not redeem the ARS, or the United States government fails to
support its guaranty of the obligations, we may be required to
adjust the carrying value of the ARS and record additional
impairment charges in future periods, which could materially
affect our results of operations and financial condition.
Changes
in demand caused by fluctuations in foreign currency exchange
rates may reduce our international sales.
Almost all of our direct international sales are denominated in
U.S. dollars. Nonetheless, changes in demand caused by
fluctuations in interest and currency exchange rates may affect
our international sales. We have direct sales, service and
applications support and logistics responsibilities for our
products in Europe and the Asia Pacific region, and accordingly,
we incur labor, service and other expenses in foreign
currencies. As of August 28, 2010, we had not entered into
any hedging activities and our foreign currency transaction
gains and losses for fiscal 2010 were insignificant. We intend
to evaluate various hedging activities and other options to
minimize fluctuations in foreign currency exchange rates. There
is no assurance that we will be successful in minimizing foreign
exchange rate risks and such failure may reduce our
international sales or negatively impact our operating results.
Because
of the need to meet and comply with numerous foreign regulations
and policies, the potential for change in the political and
economic environments in foreign jurisdictions and the
difficulty of managing business overseas, we may not be able to
sustain our historical level of international
sales.
We operate in a global market. In fiscal 2010, approximately 64%
of our sales revenue derived from sales outside of the United
States. In fiscal 2009, approximately 71% of our sales revenue
derived from sales outside the United States. In fiscal 2008,
approximately 76% of our sales revenue derived from sales
outside the United States. We expect that international sales
will continue to represent a significant portion of total
sales.* Sales to customers outside the United States involve a
number of risks, including the following:
|
|
|
|
|
imposition of government controls;
|
|
|
|
compliance with U.S. export laws and foreign laws;
|
|
|
|
political and economic instability;
|
|
|
|
trade restrictions;
|
|
|
|
changes in taxes and tariffs;
|
|
|
|
longer payment cycles;
|
|
|
|
difficulty of administering business overseas; and
|
|
|
|
general economic conditions.
|
In particular, the Japanese and Asia Pacific markets are
extremely competitive. The semiconductor device manufacturers
located in these markets are very aggressive in seeking price
concessions from suppliers, including equipment manufacturers
like us.
We seek to meet technical standards imposed by foreign
regulatory bodies. However, we cannot guarantee that we will be
able to comply with those standards in the future. Any failure
by us to design products to comply with foreign standards could
have a significant negative impact on us.
15
Because
of the significant financial resources needed to offer a broad
range of products, to maintain customer service and support and
to invest in research and development, we may be unable to
compete with larger, better established
competitors.
The microelectronics equipment industry is highly competitive.
We face substantial competition throughout the world. We believe
that to remain competitive, we will need significant financial
resources to offer a broad range of products, to maintain
customer service and support, and to invest in research and
development. We believe that the microelectronics industry is
becoming increasingly dominated by large manufacturers who have
the resources to support customers on a worldwide basis. In the
past several years, we have seen a trend toward consolidation in
the microelectronics equipment industry. We expect the trend
toward consolidation to continue as companies seek to strengthen
or maintain their market positions in a rapidly changing
industry. This could lead to larger, stronger competitors. Some
of our competitors have substantially greater financial,
marketing, and customer-support capabilities than us. Large
equipment manufacturers have or may enter the market areas in
which we compete. In addition, smaller, emerging
microelectronics equipment companies provide innovative
technology. We expect that our competitors will continue to
improve the design and performance of their existing products
and processes. We also expect them to introduce new products and
processes with better performance and pricing. We cannot
guarantee that we will continue to compete effectively in the
United States or elsewhere. We may be unable to continue to
invest in marketing, research and development and engineering at
the levels we believe necessary to maintain our competitive
position. Our failure to make these investments could have a
significant negative impact on our business, operating results
and financial condition.
Manufacturing
interruptions or delays could affect our ability to meet
customer demand, while the failure to estimate customer demand
accurately could result in excess or obsolete
inventory.
Our business depends on our ability to supply equipment,
services and related products that meet the rapidly changing
requirements of our customers, which depends in part on the
timely delivery of parts, components and subassemblies
(collectively, parts) from suppliers. Some key parts may be
subject to long lead-times
and/or
obtainable only from a single supplier or limited group of
suppliers. Significant interruptions of manufacturing operations
or the delivery of services could result in delayed deliveries
to our customers, manufacturing inefficiencies, increased costs
or order cancellations as a result of:
|
|
|
|
|
the failure or inability of suppliers to timely deliver quality
parts;
|
|
|
|
volatility in the availability and cost of materials;
|
|
|
|
difficulties or delays in obtaining required export approvals;
|
|
|
|
information technology or infrastructure failures;
|
|
|
|
difficulties related to planning or effecting business process
changes;
|
|
|
|
natural disasters (such as earthquakes, floods or storms); or
|
|
|
|
other causes (such as regional economic downturns, pandemics,
political instability, terrorism or acts of war).
|
Moreover, if actual demand for our products is different than
expected, we may purchase more/fewer parts than necessary or
incur costs for canceling, postponing or expediting delivery of
parts. Any or all of these factors could materially and
adversely affect our business, financial condition and results
of operations.
Our
backlog may not result in future net sales.
We schedule the production of our systems based in part upon
order backlog. Due to possible customer changes in delivery
schedules and cancellations of orders, our backlog at any
particular date is not necessarily indicative of actual sales
for any succeeding period. In addition, while we evaluate each
customer order on a case by case basis to determine
qualification for inclusion in backlog, there can be no
assurance that amounts
16
included in backlog ultimately will result in future sales. A
reduction in backlog during any particular period, or the
failure of our backlog to result in future sales, could harm our
business and operating results.
Because
we depend upon our management and technical personnel for our
success, the loss of key personnel could place us at a
competitive disadvantage.
Our success depends to a significant extent upon our management
and technical personnel. The loss of a number of these key
persons could have a negative effect on our operations.
Competition is high for such personnel in our industry in all of
our locations. We periodically review our compensation and
benefit packages to ensure that they are competitive in the
marketplace and make adjustments or implement new programs for
that purpose, as appropriate. We cannot guarantee that we will
continue to attract and retain the personnel we require.
Our
employment costs in the short-term are to a large extent fixed,
and therefore any unexpected revenue shortfall could adversely
affect our operating results.
Our operating expense levels are based in significant part on
our headcount, which generally is driven by longer-term revenue
goals. For a variety of reasons, particularly the high cost and
disruption of lay-offs and the costs of recruiting and training,
our headcount in the short-term is, to a large extent, fixed.
Accordingly, we may be unable to reduce employment costs in a
timely manner to compensate for any unexpected shortfall in
revenue or gross margin, which could have a material adverse
effect on our operating results.
Because
the development and protection of our intellectual property is
important to our success, the loss or diminution of our
intellectual property rights could adversely affect our
business.
We attempt to protect our intellectual property rights through
patents, copyrights, trade secrets, and other measures. However,
we believe that our financial performance will depend more upon
the innovation, technological expertise, and marketing abilities
of our employees than on such protection. In connection with our
intellectual property rights, we face the following risks:
|
|
|
|
|
our pending patent applications may not be issued or may be
issued with more narrow claims;
|
|
|
|
patents issued to us may be challenged, invalidated, or
circumvented;
|
|
|
|
rights granted under issued patents may not provide competitive
advantages to us;
|
|
|
|
foreign laws may not protect our intellectual property
rights; and
|
|
|
|
others may independently develop similar products, duplicate our
products, or design around our patents.
|
As is typical in the semiconductor industry, we occasionally
receive notices from others alleging infringement claims, and we
also consider seeking claims against others. We have been
involved in patent infringement litigation in the past and we
could become involved in similar lawsuits or other patent
infringement claims in the future. We cannot guarantee the
outcome of such lawsuits or claims, which may have a significant
negative effect on our business or operating results.
We are
exposed to various risks related to legal proceedings or
claims.
We have in the past and may in the future be involved in legal
proceedings or claims regarding patent infringement,
intellectual property rights, contracts and other matters. These
legal proceedings and claims, whether with or without merit,
could be time-consuming and expensive to prosecute or defend,
and could divert managements attention and resources.
There can be no assurance regarding the outcome of future legal
proceedings or claims. If we are not able to resolve a claim,
negotiate a settlement of the matter, obtain necessary licenses
on commercially reasonable terms
and/or
successfully prosecute or defend its position, our business,
financial condition and results of operations could be
materially and adversely affected.
17
There has and continues to be substantial litigation regarding
patent and other intellectual property rights in the
microelectronics industry. Commercialization of new products or
further commercialization of our products could provoke claims
of infringement by third parties. In the future, litigation may
be necessary to enforce patents issued to us, to protect trade
secrets or know-how owned by us or to defend us against claimed
infringement of the rights of others and to determine the scope
and validity of our proprietary rights. Any such litigation
could result in substantial costs and diversion of our effort,
which alone could have a material adverse impact on our
financial condition and operating results. Further, adverse
determinations in such litigation could result in our loss of
proprietary rights, subject us to significant liabilities to
third parties, require us to seek licenses from third parties or
prevent us from manufacturing or selling one or more products,
any of which could have a material adverse effect on our
financial condition and results of operations.
We generate minor amounts of liquid and solid hazardous waste
and use licensed haulers and disposal facilities to ship and
dispose of such waste. In the past, we have received notice from
state or federal enforcement agencies that we are a potentially
responsible party (PRP) in connection with the
investigation of several hazardous waste disposal sites owned
and operated by third parties. In each matter, we have elected
to participate in settlement offers made to all de minimis
parties with respect to such sites. The risk of being named
a PRP is that if any of the other PRPs are unable to contribute
their proportionate share of the liability, if any, associated
with the site, those PRPs that are financially able could be
held financially responsible for the shortfall.
Certain of our product lines are intended for use with hazardous
chemicals. As a result, we are notified by our customers from
time to time of incidents involving our equipment that have
resulted in a spill or release of a hazardous chemical. We
maintain product liability insurance in an effort to minimize
our risk. However, in some cases it may be alleged that we or
our equipment are at fault. There can be no assurance that any
future litigation resulting from such claims would not have a
material adverse effect on our business or financial results.
Our
sales cycle is long and unpredictable, which could require us to
incur high sales and marketing expenses with no assurance that a
sale will result.
Sales cycles for some of our products can run as long as 12 to
18 months. As a result, we may not recognize revenue from
efforts to sell particular products for extended periods of
time. We believe that the length of the sales cycle may increase
as some current and potential customers centralize purchasing
decisions into one decision-making entity. We expect this may
intensify the evaluation process and require us to make
additional sales and marketing expenditures with no assurance
that a sale will result.
We may
raise additional capital in the future through the issuance of
equity securities, which may result in dilution to existing
shareholders.
In order to expand our business, we may consider offering and
issuing additional equity or equity-based securities. Holders of
our securities may experience a dilution in the net tangible
book value per share held by them and a reduction in their
percentage of ownership if this occurs.
Future
acquisitions may dilute our shareholders ownership
interests and have other adverse consequences.
Because of consolidations in the semiconductor equipment
industry we serve and other competitive factors, our management
may seek to acquire additional product lines, technologies, and
businesses if suitable opportunities develop. Acquisitions may
result in the issuance of our stock, which may dilute our
shareholders ownership interests and reduce earnings per
share. Acquisitions also may increase debt levels and the
related goodwill and other intangible assets, which could have a
significant negative effect on our financial condition and
operating results. In addition, acquisitions involve numerous
risks, including:
|
|
|
|
|
difficulties in absorbing the new business, product line, or
technology;
|
18
|
|
|
|
|
diversion of managements attention from other business
concerns;
|
|
|
|
entering new markets in which we have little or no experience;
and
|
|
|
|
possible loss of key employees of the acquired business.
|
Because
of the volatility of our stock price, the ability to trade
shares of our common stock may be adversely affected and our
ability to raise capital through future equity financing may be
reduced.
Our stock price has been volatile in the past and may continue
to be so in the future. In fiscal 2010, our stock price ranged
from $0.83 to $5.17 per share. In fiscal 2009, our stock price
ranged from $0.20 to $1.21 per share and in fiscal 2008, our
stock price ranged from $1.08 to $2.73 per share.
The trading price of our common shares is subject to wide
fluctuations in response to various factors, some of which are
beyond our control, including, but not limited to, factors
discussed elsewhere in this report, and the following:
|
|
|
|
|
failure to meet the published expectations of securities
analysts for a given period;
|
|
|
|
changes in financial estimates by securities analysts;
|
|
|
|
press releases or announcements by, or changes in market values
of, comparable companies;
|
|
|
|
additions or departures of key personnel; and
|
|
|
|
involvement in or adverse results from litigation.
|
The prices of technology stocks, including ours, have been
particularly affected by extreme fluctuations in price and
volume in the stock market generally. These broad stock market
fluctuations may have a negative effect on our future stock
price.
In the past, securities class action litigation has often been
brought against a company following periods of volatility in the
market price of its securities. In the future we could be the
target of this type of litigation. Securities litigation may
result in substantial costs and divert managements
attention and resources, which could seriously harm our business.
Our
common stock is at risk for delisting from the NASDAQ Global
Market. If it is delisted, our stock price and the liquidity of
our common stock may be impacted.
While our stock price has exceeded $1.00 per share during fiscal
2010, our stock price traded below $1.00 during fiscal 2009. If,
in the future, the bid price falls below $1.00 for 30
consecutive business days, we could receive notice from the
NASDAQ Global Market stating that the bid price of our common
stock had closed below the minimum $1.00 per share requirement
for continued inclusion on the NASDAQ Global Market under
Marketplace Rule 4310(c)(4). Under NASDAQ Marketplace
Rule 4310(c)(8)(D), we would then have 180 calendar days to
regain compliance. If at any time after receiving the notice,
the bid price of our common stock closes at $1.00 per share or
more for a minimum of 10 consecutive business days, the NASDAQ
Global Market would notify us that we have achieved compliance
with the minimum bid price rule. However, if we did not regain
compliance with the minimum bid price rule within the 180
calendar days, the NASDAQ Global Market would determine whether
we met the initial listing criteria for the NASDAQ Global Market
other than the bid price requirement. If we met such criteria,
we would be afforded an additional 180 calendar days in order to
regain compliance with the minimum bid price rule.
If we fail to meet NASDAQs maintenance criteria, our
common stock will be delisted from the NASDAQ Global Market.
If we fail to maintain the standards necessary to be quoted on
the NASDAQ Global Market and our common stock is delisted,
trading in our common stock would be conducted on the NASDAQ
Capital Market or other available market, provided we meet the
standards of such market. Our stock price, as well as the
liquidity of our common stock, may be adversely impacted as a
result.
19
Because
our quarterly operating results are volatile, our stock price
could fluctuate.
In the past, our operating results have fluctuated from quarter
to quarter and are likely to do so in the future. These
fluctuations may have a significant impact on our stock price.
The reasons for the fluctuations in our operating results, such
as sales, gross profits, and net loss, include:
|
|
|
|
|
The Timing of Significant Customer Orders and Customer
Spending Patterns. During industry downturns, our
customers may ask us to delay or even cancel the shipment of
equipment orders. Delays and cancellations may adversely affect
our operating results in any particular quarter if we are unable
to recognize revenue for particular sales in the quarter in
which we expected those sales.
|
|
|
|
The Timing of Customer Acceptances. Based on
our revenue recognition policy, certain shipments to customers
are not recognized until customer acceptance. Delays of customer
acceptances may adversely affect our operating results in any
particular quarter if we are unable to recognize revenue for
particular sales in the quarter in which we expected those sales.
|
|
|
|
The Timing of New Product and Service Announcements By Us or
Our Competitors. New product announcements by us
or our competitors could cause our customers to delay a purchase
or to decide to purchase products of one of our competitors
which would adversely affect our revenue and, therefore, our
results of operations. New product announcements by others may
make it necessary for us to reduce prices on our products or
offer more service options, which could adversely impact
operating margins and net income.
|
|
|
|
The Mix of Products Sold and the Market Acceptance of Our New
Product Lines. The mix of products we sell varies
from period to period, and because margins vary among or within
different product lines, this can adversely affect our results
of operations. If we fail to sell products that generate higher
margins, our average gross margins may be lower than expected.
If we fail to sell our new product lines, our revenue may be
lower than expected.
|
|
|
|
General Global Economic Conditions or Economic Conditions in
a Particular Region. When economic conditions in
a region or worldwide worsen, customers may delay or cancel
their orders. There also may be an increase in the time it takes
to collect payment from our customers or even outright payment
defaults. This can negatively affect our cash flow and our
results.
|
As a result of these factors, our future operating results are
difficult to predict. Further, we base our current and future
expense plans in significant part on our expectations of our
longer-term future revenue. We expect our expense levels to be
relatively fixed in the short term. An unanticipated decline in
revenue for a particular quarter may disproportionately affect
our net income in that quarter. If our revenue is below our
projections, then our operating results will also be below
expectations. Any one of the factors we list above, or a
combination of them, could adversely affect our quarterly
results of operations, and consequently may cause a decline in
our stock price.
Our
restated articles of incorporation, as amended, our restated
by-laws and Minnesota law make a takeover of our company more
difficult and expensive, which may prevent certain changes in
control and limit the market price of our common
stock.
Our restated articles of incorporation, as amended, our restated
by-laws and Minnesota law make a takeover of our company more
difficult and expensive, which may prevent certain changes in
control and limit the market price of our common stock. Our
restated articles of incorporation, as amended, our restated
by-laws and Section 302A.673 of the Minnesota Business
Corporation Act contain provisions that might enable our
management to resist a takeover of our company. Provisions in
our amended and restated articles of incorporation, as amended,
and restated by-laws may discourage, delay or prevent a merger
or acquisition involving us that our shareholders may consider
favorable. For example, our authorized but unissued shares of
common stock and preferred stock are available for future
issuances without shareholder approval, subject to any
limitations imposed by the NASDAQ Global Market. Our board of
directors may set the rights, preferences and terms of new
preferred stock, without shareholder approval. With these rights
and preferences, it could be more difficult for a third party to
acquire us. In addition, our restated articles of incorporation,
as
20
amended, provide for a staggered board of directors, with
directors serving for three-year terms, with approximately
one-third of the directors coming up for re-election each year.
Having a staggered board will make it more difficult for a third
party to obtain control of our board of directors through a
proxy contest, which may be a necessary step in any acquisition
of us that is not favored by our board of directors.
Our
management has broad discretion in allocating any net proceeds
from the sale of securities.
We reserve the right to use any funds obtained from the sale of
our securities in any manner which our management deems to be in
our best interests and in the best interests of our shareholders
in order to address changed circumstances or seek out new
opportunities. As a result of the foregoing, our success will be
substantially dependent upon the discretion and judgment of
management with respect to the application and allocation of the
net proceeds from any offering of our securities. Investors in
any equity securities offered will be entrusting their funds to
our management, upon whose judgment and discretion the investors
must depend.
We do
not intend to pay dividends.
We have never declared or paid any cash dividends on our common
stock. We currently intend to retain any future earnings for
funding growth and, therefore, do not expect to pay any
dividends in the foreseeable future.
|
|
Item 1.B.
|
Unresolved
Staff Comments
|
We do not have any unresolved staff comments.
We own a 197,000-square-foot facility in Chaska, Minnesota. The
facility contains certain product engineering, manufacturing,
sales, administrative and support functions. It includes a
research laboratory and 40,000 square feet of
Class 1,000 and 10,000 cleanroom space, manufacturing
support operations and a customer training center.
In February 2005, we sold our 162,000 square foot facility
in Allen, Texas. We currently have a sublease for approximately
8,000 square feet of space in the facility. The lease
expires on September 1, 2011.
We also maintain small leased sales and service offices
throughout Europe and Asia near our customer locations.
|
|
ITEM 3.
|
LEGAL
PROCEEDINGS
|
We are not subject to any material pending legal proceedings.
21
|
|
ITEM 4.
|
REMOVED
AND RESERVED
|
|
|
ITEM 4A.
|
EXECUTIVE
OFFICERS OF THE COMPANY
|
The executive officers are elected by the board of directors,
generally for a term of one year, and serve until their
successor is elected and qualified. The following table and
discussion contains information regarding our current executive
officers.
|
|
|
|
|
|
|
Name
|
|
Age
|
|
Position
|
|
John C. Ely(1)
|
|
|
51
|
|
|
Vice President, Global Sales, Marketing and Service
|
Patricia M. Hollister(2)
|
|
|
50
|
|
|
Chief Financial Officer and Assistant Secretary
|
Donald S. Mitchell(3)
|
|
|
55
|
|
|
Chairman and Chief Executive Officer
|
Benno G. Sand(4)
|
|
|
56
|
|
|
Executive Vice President, Business Development and Investor
Relations and Secretary
|
|
|
|
(1) |
|
John Ely was named Vice President of Global Sales, Marketing and
Service in March 2009. He previously served as Executive Vice
President of Global Sales and Service from May 2003 to March
2009. Mr. Ely was the Executive Vice President; President,
of our SC Division from August 2000 to June 2003. Mr. Ely
was the SC Divisions Sales/ Marketing/Applications Manager
from 1997 to 2000; General Manager from 1995 to 1997; Product
Specialist/Product Manager from 1989 to 1995; and in direct
sales from 1985 to 1989. Prior to joining FSI, Mr. Ely was
in sales and served as the Western Territory Manager of Galtek,
a subsidiary of Entegris, Inc. Mr. Ely is a director of SCD
Mountain View, Inc., one of our subsidiaries. |
|
(2) |
|
Patricia Hollister has served as Chief Financial Officer since
January 1998 and as Assistant Secretary since January 2000. She
was our Corporate Controller from March 1995 to January 1998.
Prior to joining FSI, Ms. Hollister was employed by KPMG
LLP in Minneapolis, Minnesota where she served for over
12 years on various audit and consulting engagements, most
recently as a Senior Manager. Ms. Hollister is a director
of various FSI-owned foreign subsidiaries as well as NVE
Corporation. |
|
(3) |
|
Donald Mitchell was named Chief Executive Officer and President
of FSI in December 1999, was appointed a director of FSI in
March 2000 and became Chairman of the Board of Directors for FSI
in January 2002. From its formation in 1998 until December
1999, he was President of Air Products Electronic Chemicals,
Inc., a division of Pennsylvania-based Air Products and
Chemicals, Inc. From 1991 to 1998, he served as President of
Schumacher, a leading global chemical equipment and services
supplier to the semiconductor industry. Throughout his career
with Schumacher, he held various executive positions, including
Vice President of Operations and Vice President of Sales and
Marketing. Mr. Mitchell is a director of FSI.
Mr. Mitchell served as the 1999/2000 Chairman of the Board
of Directors for Semiconductor Equipment and Materials
International, a leading global industry trade association and
was a member of the Board until July 2005. |
|
(4) |
|
Benno Sand has served as Executive Vice President, Business
Development and Investor Relations since January 2000. He has
served as Executive Vice President since January 1992 and
Secretary since March 2002. Mr. Sand also served as
Chief Administrative Officer from January 1998 to December 1999,
as Chief Financial Officer from October 1990 to January 1998,
and as Vice President of Finance from October 1987 to January
1992. Mr. Sand is a director of various FSI-owned United
States and foreign subsidiaries, as well as Apprecia, Sajan,
Inc. and Digitiliti, Inc. |
22
PART II
|
|
ITEM 5.
|
MARKET
FOR THE REGISTRANTS COMMON EQUITY, RELATED STOCKHOLDER
MATTERS AND ISSUER PURCHASES OF EQUITY SECURITIES
|
Our common stock is traded on the NASDAQ Global
Marketsm
under the symbol FSII. The following table sets
forth the highest and lowest daily sale prices, as reported by
the NASDAQ Global Market for the fiscal periods indicated:
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
2010
|
|
2009
|
|
|
High
|
|
Low
|
|
High
|
|
Low
|
|
Fiscal Quarter
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
First
|
|
$
|
2.40
|
|
|
$
|
0.83
|
|
|
$
|
1.21
|
|
|
$
|
0.31
|
|
Second
|
|
|
3.47
|
|
|
|
1.13
|
|
|
|
0.54
|
|
|
|
0.25
|
|
Third
|
|
|
4.56
|
|
|
|
2.31
|
|
|
|
0.55
|
|
|
|
0.20
|
|
Fourth
|
|
|
5.17
|
|
|
|
2.65
|
|
|
|
0.99
|
|
|
|
0.32
|
|
There were approximately 450 record holders of our common stock
on October 25, 2010.
We have never declared or paid cash dividends on our common
stock. We currently intend to retain all earnings for use in our
business and do not anticipate paying dividends in the
foreseeable future.* Any future determination as to payment of
dividends will depend upon our financial condition and results
of operations and such other factors as are deemed relevant by
our board of directors.
|
|
ITEM 6.
|
SELECTED
CONSOLIDATED FINANCIAL DATA
|
The table that follows presents portions of our consolidated
financial statements and are not complete. You should read the
following selected consolidated financial data in conjunction
with our Consolidated Financial Statements and with
Managements Discussion and Analysis of Financial
Condition and Results of Operations included elsewhere in
this report. The Consolidated Statement of Operations data for
the years ended August 28, 2010, August 29, 2009 and
August 30, 2008, and the Consolidated Balance Sheet data as
of August 28, 2010 and August 29, 2009, are derived
from our audited consolidated financial statements, which are
included elsewhere in this report. The Consolidated Statements
of Operations data for the years ended August 25, 2007 and
August 26, 2006 and the Consolidated Balance Sheet data as
of August 30, 2008, August 25, 2007 and
August 26, 2006 are derived from our audited consolidated
financial statements which do not appear in this report.
23
The historical results presented below are not necessarily
indicative of the results to be expected for any future fiscal
year or fiscal period.
Selected
Historical Financial Data
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Fiscal Year Ended
|
|
|
August 28,
|
|
August 29,
|
|
August 30,
|
|
August 25,
|
|
August 26,
|
|
|
2010(4)
|
|
2009(3)
|
|
2008(3)
|
|
2007(2)(3)
|
|
2006(1)
|
|
|
(In thousands, except per share amounts)
|
|
Consolidated Statements of Operations Data:
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Sales
|
|
$
|
90,985
|
|
|
$
|
50,484
|
|
|
$
|
78,256
|
|
|
$
|
116,233
|
|
|
$
|
113,241
|
|
Gross margin
|
|
|
42,918
|
|
|
|
16,427
|
|
|
|
32,985
|
|
|
|
47,123
|
|
|
|
52,850
|
|
Selling, general, and administrative expenses
|
|
|
17,684
|
|
|
|
19,504
|
|
|
|
29,012
|
|
|
|
34,542
|
|
|
|
36,218
|
|
Research and development expenses
|
|
|
12,703
|
|
|
|
14,674
|
|
|
|
18,962
|
|
|
|
24,086
|
|
|
|
24,321
|
|
Operating income (loss)
|
|
|
12,531
|
|
|
|
(17,751
|
)
|
|
|
(14,989
|
)
|
|
|
(11,505
|
)
|
|
|
(7,689
|
)
|
Gain on sale of marketable securities/(impairment of investments)
|
|
|
54
|
|
|
|
110
|
|
|
|
(353
|
)
|
|
|
(4,088
|
)
|
|
|
(500
|
)
|
Equity in earnings (losses) of affiliates
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
27
|
|
|
|
(274
|
)
|
Net income (loss)
|
|
$
|
13,021
|
|
|
$
|
(17,624
|
)
|
|
$
|
(13,639
|
)
|
|
$
|
(14,586
|
)
|
|
$
|
(7,287
|
)
|
Income (loss) per share basic and diluted
|
|
$
|
0.39
|
|
|
$
|
(0.57
|
)
|
|
$
|
(0.45
|
)
|
|
$
|
(0.48
|
)
|
|
$
|
(0.24
|
)
|
Weighted average common shares used in per share
calculations basic
|
|
|
33,301
|
|
|
|
31,129
|
|
|
|
30,648
|
|
|
|
30,413
|
|
|
|
30,042
|
|
Weighted average common shares used in per share
calculations diluted
|
|
|
33,628
|
|
|
|
31,129
|
|
|
|
30,648
|
|
|
|
30,413
|
|
|
|
30,042
|
|
Consolidated Balance Sheets Data:
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Total assets
|
|
$
|
102,298
|
|
|
$
|
63,685
|
|
|
$
|
87,653
|
|
|
$
|
101,404
|
|
|
$
|
127,544
|
|
Total long-term debt
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
616
|
|
|
|
|
|
Stockholders equity
|
|
|
82,803
|
|
|
|
50,657
|
|
|
|
67,658
|
|
|
|
80,766
|
|
|
|
93,972
|
|
Dividends
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
(1) |
|
During fiscal 2006, we recorded an impairment charge of
$0.5 million related to an investment in a Malaysian
foundry. |
|
(2) |
|
During fiscal 2007, we recorded an impairment and loss on sale
of investment of $4.1 million related to transactions with
Apprecia. |
|
(3) |
|
During fiscal 2009, we recorded severance and outplacement costs
of $604,000 to cost of goods sold, $1,133,000 to selling,
general and administrative expense and $875,000 to research and
development expense. During fiscal 2008, we recorded severance
and outplacement costs of $142,000 to cost of goods sold,
$1,314,000 to selling, general and administrative expense and
$536,000 to research and development expense. During fiscal
2007, we recorded severance and outplacement costs of $296,000
to cost of goods sold, $923,000 to selling, general and
administrative expense and $592,000 to research and development
expense. See Note 15 of the Notes to Consolidated Financial
Statements for a discussion of the fiscal 2009 and 2008
severance and outplacement costs. |
|
(4) |
|
During fiscal 2010, we recorded discretionary incentive
compensation of $375,000 to cost of goods sold, $1,250,000 to
selling, general and administrative expense and $875,000 to
research and development expense. |
24
|
|
ITEM 7.
|
MANAGEMENTS
DISCUSSION AND ANALYSIS OF FINANCIAL CONDITION AND RESULTS OF
OPERATIONS
|
Application
of Critical Accounting Policies and Estimates
In accordance with Securities and Exchange Commission guidance,
those material accounting policies that we believe are the most
critical to an investors understanding of our financial
results and condition and require complex management judgment
are discussed below.
Our critical accounting policies and estimates are as follows:
|
|
|
|
|
revenue recognition;
|
|
|
|
valuation of long-lived assets;
|
|
|
|
estimation of valuation allowances and accrued liabilities,
specifically product warranty, inventory provisions and
allowance for doubtful accounts;
|
|
|
|
stock-based compensation; and
|
|
|
|
income taxes.
|
Revenue
Recognition
We recognize revenue when persuasive evidence of an arrangement
exists, delivery has occurred or services have been rendered,
the purchase price is fixed or determinable and collectibility
is reasonably assured. If our equipment sales involve sales to
our existing customers who have previously accepted the same
type(s) of equipment with the same type(s) of specifications, we
account for the product sales as a multiple element arrangement.
Revenue from multiple element arrangements is allocated among
the separate accounting units based on the relative selling
price of each deliverable. We recognize the equipment revenue
upon shipment and transfer of title. The other multiple elements
include installation, service contracts and training. Equipment
installation revenue is valued based on estimated service person
hours to complete installation and quoted service labor rates
and is recognized when the installation has been completed and
the equipment has been accepted by the customer. Service
contract revenue is determined based on estimated service person
hours to complete the service and published or quoted service
labor rates and is recognized over the contract period. Training
revenue is determined based on quoted training class prices and
is recognized when the customers complete the training classes
or when a customer-specific training period has expired. The
quoted service labor rates and training class prices are rates
actually charged and billed to our customers.
All other product sales with customer-specific acceptance
provisions are recognized upon customer acceptance. Future
revenues may be negatively impacted if we are unable to meet
customer-specific acceptance criteria. Revenue related to spare
part sales is recognized upon shipment or delivery based on the
title transfer terms. Revenues related to maintenance and
service contracts are recognized ratably over the duration of
such contracts.
The timing and amount of revenue recognized depends on whether
revenue is recognized upon shipment versus acceptance. For
revenue recognized upon acceptance, it is dependent upon when
customer-specific criteria are met.
Valuation
of Long-Lived Assets
We assess the impairment of long-lived assets whenever events or
changes in circumstances indicate that the carrying amount may
not be recoverable. An asset or asset group is considered
impaired if its carrying amount exceeds the undiscounted future
net cash flow the asset or asset group is expected to generate.
If an asset or asset group is considered to be impaired, the
impairment to be recognized is measured by the amount by which
the carrying amount of the asset exceeds its fair value. If
estimated fair value is less than the book value, the asset is
written down to the estimated fair value and an impairment loss
is recognized.
25
If we determine that the carrying amount of long-lived assets
may not be recoverable, we measure any impairment based on the
fair value of the long-lived assets. Net long-lived assets
amounted to $13.2 million as of August 28, 2010.
In fiscal 2010, we generated positive cash flows from
operations. If our long-term future plans do not yield positive
cash flows in excess of the carrying amount of our long-lived
assets, we would anticipate possible future impairments of those
assets.*
Considerable management judgment is necessary in estimating
future cash flows and other factors affecting the valuation of
long-lived assets, and the operating and macroeconomic factors
that may affect them. We use historical financial information,
internal plans and projections and industry information in
making such estimates.
Product
Warranty
We record a liability for warranty claims at the time of sale.
The amount of the liability is based on the trend in the
historical ratio of claims to sales, releases of new products
and other factors. The warranty periods for new equipment
manufactured by us generally ranges from six months to two
years. Special warranty provisions are also accrued for major
rework campaigns. Although management believes the likelihood to
be relatively low, claims experience could be materially
different from actual results because of the introduction of
new, more complex products; competition or other external
forces; manufacturing changes that could impact product quality;
or as of yet unrecognized defects in products sold.
Warranty provisions and claims for the fiscal years ended
August 28, 2010, August 29, 2009, and August 30,
2008 were as follows (in thousands):
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
August 28,
|
|
|
August 29,
|
|
|
August 30,
|
|
|
|
2010
|
|
|
2009
|
|
|
2008
|
|
|
Beginning balance
|
|
$
|
1,702
|
|
|
$
|
2,757
|
|
|
$
|
3,811
|
|
Warranty provisions
|
|
|
295
|
|
|
|
405
|
|
|
|
1,153
|
|
Warranty claims
|
|
|
(870
|
)
|
|
|
(1,460
|
)
|
|
|
(2,207
|
)
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Ending balance
|
|
$
|
1,127
|
|
|
$
|
1,702
|
|
|
$
|
2,757
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
During fiscal 2010, we reversed $480,000 of unused prior period
warranty accruals associated with improved claims experience.
Inventory
Provisions
We record provisions for inventory shrinkage and for potentially
excess, obsolete and slow moving inventory. The amounts of these
provisions are based upon historical loss trends, inventory
levels, physical inventory and cycle count adjustments, expected
product lives, forecasted sales demand and recoverability.
Results could be materially different if demand for our products
decreases because of economic or competitive conditions, length
of the industry downturn, or if products become obsolete because
of technical advancements in the industry or by us. In fiscal
2010 we recorded approximately $2.5 million of additional
inventory reserves associated primarily with engineering design
changes.
Allowance
for Doubtful Accounts
Management must make estimates of the uncollectibility of
accounts receivable. The most significant risk is the risk of
sudden unexpected deterioration in the financial condition of a
significant customer who is not considered in the allowance.
Management specifically analyzes accounts receivable and
analyzes historical bad debts, customer concentrations, customer
credit-worthiness, current economic trends and changes in our
customer payment terms when evaluating the adequacy of the
allowance for doubtful accounts. Results could be materially
impacted if the financial condition of a significant customer
deteriorated and related accounts receivable are deemed
uncollectible. Accounts receivable are determined to be past due
based on payment terms and are charged off after management
determines that they are uncollectible.
26
A rollforward of the allowance for doubtful accounts for the
fiscal years ended August 28, 2010, August 29, 2009
and August 30, 2008 is as follows (in thousands):
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Balance at
|
|
|
|
|
|
|
|
Balance
|
|
|
Beginning
|
|
|
|
Bad Debt
|
|
|
|
at End
|
|
|
of Year
|
|
Recoveries
|
|
Expense
|
|
Write-Offs
|
|
of Year
|
|
Fiscal year ended August 28, 2010
|
|
$
|
125
|
|
|
$
|
(13
|
)
|
|
$
|
|
|
|
$
|
|
|
|
$
|
112
|
|
Fiscal year ended August 29, 2009
|
|
$
|
128
|
|
|
$
|
(21
|
)
|
|
$
|
18
|
|
|
$
|
|
|
|
$
|
125
|
|
Fiscal year ended August 30, 2008
|
|
$
|
196
|
|
|
$
|
(68
|
)
|
|
|
|
|
|
$
|
|
|
|
$
|
128
|
|
We collected $13,000 of receivables in fiscal 2010, $21,000 of
receivables in fiscal 2009 and $68,000 of receivables in fiscal
2008 that had previously been written off resulting in credits
to selling, general and administrative expenses.
Stock-Based
Compensation
We recognize compensation expense for all stock options granted
under our stock incentive plan and employee stock purchase plan.
We recorded stock compensation expense of $1,444,000 in fiscal
2010, $482,000 in fiscal 2009 and $565,000 in fiscal 2008.
We utilize a Black-Scholes option-pricing model to estimate fair
value of each award on the date of grant. The Black-Scholes
model requires the input of certain assumptions that involve
management judgment. Key assumptions that affect the calculation
of fair value include the expected life of stock-based awards
and our stock price volatility. Additionally, we expense for
only those shares expected to vest. The assumptions used in
calculating the fair value of stock-based awards and the
forfeiture rate of such awards reflect managements best
estimates. However, circumstances may change and additional data
may become available over time, which could result in changes to
these assumptions that materially impact the fair value
determination of future awards or their estimated rate of
forfeiture. If factors change and we use different assumptions
in future periods, the compensation expense recorded may differ
significantly from the expense recorded in the current period.
See Note 10 of Notes to Consolidated Financial Statements
for additional information on stock-based compensation.
Income
Taxes
Our effective income tax rate is based on income, statutory tax
rates and tax planning opportunities available to us in the
various jurisdictions in which we operate. We have established
valuation allowances against the U.S. and
non-U.S. net
operating losses to reflect the uncertainty of our ability to
fully utilize these benefits given the limited carryforward
periods permitted by the various jurisdictions. The evaluation
of the realizability of our net operating losses requires the
use of considerable management judgment to estimate the future
taxable income for the various jurisdictions, for which the
ultimate amounts and timing of such estimates may differ. The
valuation allowance can also be impacted by changes in the tax
regulations.
Significant judgment is required in determining our unrecognized
tax benefits. We have established accruals using
managements best judgment and adjust these accruals as
warranted by changing facts and circumstances. A change in our
tax liabilities in any given period could have a significant
impact on our results of operations and cash flows for that
period.
Industry
Update
Based on discussions with many of our manufacturing customers,
we believe that the industry factory utilization rates during
the first nine months of calendar 2010 remained relatively high,
resulting in the need for increased production capacity through
equipment upgrades, expansions and technology conversions.
Many of the leading semiconductor manufacturers, including
Samsung, TSMC, UMC, Global Foundries, Toshiba, and others are in
the process of significant capacity expansion plans, primarily
related to an expected increase in unit demand and the
requirement for devices that perform at higher technology
levels. Several of these customers have stated that the
equipping of this capacity will begin during calendar 2011. In
addition,
27
we have experienced an increase in the spending levels by
manufacturers of lower technology devices during the last two
fiscal quarters.
In September 2010, Gartner forecasted that semiconductor
revenues would grow 32%, to $300 billion, in calendar 2010,
from $228 billion estimated by Gartner for calendar 2009.*
Industry analysts, including Gartner, generally expect demand
for smart cellular phones, media tablets and LEDs to be
key contributors to the expected
year-over-year
growth. As stated in its September 2010 report, Gartner expects
semiconductor revenue to increase 5% to $314 billion in
calendar 2011.*
In its September 2010 report, Gartner forecasted that capital
equipment spending will increase 122% in calendar 2010 to
$37 billion, from the $17 billion level in calendar
2009.* As a comparison, in Gartners March 2010 report,
they were forecasting 76%
year-over-year
growth. In its September 2010 report, Gartner stated that it
expects capital equipment spending to increase 5% to
$39 billion in calendar 2011 and approach $43 billion
in calendar 2012.*
Overview
We began fiscal 2010 with a focused list of strategic goals,
which included the following:
|
|
|
|
|
Improving our operating margins through revenue growth and
several product cost reduction and efficiency initiatives;
|
|
|
|
Expanding our working capital;
|
|
|
|
Targeting our investments to expand the
ORION®
single wafer installed base, and
|
|
|
|
Continuing to offer customers our complete portfolio of
established surface conditioning products, including
ZETA®,
and
ANTARES®
and resist processing systems and support services.
|
Through fiscal 2009 restructuring and fiscal 2010 cost
management, we reduced our annual operating expenses by 11% from
the fiscal 2009 level while growing revenues 80%.
In June 2010, we raised $17.6 million in net proceeds from
a registered public offering of our common stock. Also, during
fiscal 2010, we generated over $9.0 million in cash from
operations. As a result, we began fiscal 2011 with approximately
$38 million in cash, cash equivalents, restricted cash and
marketable securities and no debt.
As of October 2010, we have successfully placed
ORION®
single wafer cleaning systems at two Asian foundries, one for
evaluation and another under a contingent sale contract. Also,
we expanded the applications for an evaluation system at an
Asian memory producer and gained acceptance for a second ORION
system delivered during the year to a U.S. logic supplier.
As a result of successful laboratory demonstrations during
fiscal 2010, we anticipate placing
ORION®
systems and several additional customers in fiscal 2011.* In
addition, we realized significant
year-over-year
revenue growth from our established products, including the
ZETA®
batch cleaning system and
ANTARES®
single-wafer cryokinetic system, along with spare parts and
services.
Results
of Operations
Sales
Revenue and Shipments
Fiscal 2010 sales revenue increased to $91.0 million as
compared to $50.5 million in fiscal 2009. The increase in
sales revenue in fiscal 2010 related to an increase in shipments
from $47.8 million in fiscal 2009 to $91.1 million in
fiscal 2010 associated with improved industry and overall global
economic conditions. Fiscal 2009 sales revenue decreased to
$50.5 million as compared to $78.3 million in fiscal
2008. The decrease in sales revenue in fiscal 2009 related to
the decline in shipments from $77.9 million in fiscal 2008
to $47.8 million in fiscal 2009 associated with industry
and global economic conditions.
Based upon our revenue recognition policy, certain shipments to
customers are not recognized until customer acceptance.
Therefore, depending on timing of shipments and customer
acceptances, there are time
28
periods where shipments may exceed sales revenue or due to
timing of acceptances, sales revenue may exceed shipments.
International sales were $58.1 million for fiscal 2010,
representing 64% of total sales during fiscal 2010,
$35.8 million for fiscal 2009, representing 71% of total
sales during fiscal 2008, and $59.3 million for fiscal
2008, representing 76% of total sales during fiscal 2008. The
increase in fiscal 2010 international sales as compared to
fiscal 2009 related to increases in all regions associated with
improved industry conditions. The decrease in fiscal 2009
international sales as compared to fiscal 2008 was related to
decreases in sales in Europe, Southeast Asia and Japan of
$25.9 million, partially offset by an increase of
$2.4 million in Korea. See Note 12 of the Notes to
Consolidated Financial Statements for additional information
regarding our international sales.
We ended fiscal 2010 with a backlog of approximately
$16.0 million as compared to $7.9 million at the end
of fiscal 2009. Backlog consists of orders with delivery dates
within the next 12 months for which a customer purchase
order has been received. Because of the timing and relative size
of orders and the possibility of cancellations or customer
delays, backlog is not necessarily indicative of sales for
future periods.
Gross
Margin
Our gross profit margin fluctuates due to a number of factors,
including the mix of products sold; initial product placement
discounts; utilization of manufacturing capacity; and the
competitive pricing environment.
Gross margin as a percentage of sales was 47.2% for fiscal 2010
as compared to 32.5% for fiscal 2009 and 42.1% for fiscal 2008.
The increase in gross margin from fiscal 2009 to fiscal 2010 was
due primarily to improved manufacturing utilization as a result
of higher production and shipment levels. The increase was also
due to product mix, reduced warranty claims in fiscal 2010 and
$0.6 million of severance expense recorded in fiscal 2009.
The improvements were partially offset by $375,000 of
discretionary incentive compensation expense in fiscal 2010.
There was no discretionary incentive compensation expense in
fiscal 2009 or fiscal 2008. The decrease in gross margin from
fiscal 2008 to fiscal 2009 related primarily to a decrease in
utilization of manufacturing capacity in fiscal 2009 as compared
to fiscal 2008 related to the decline in shipments from
$77.9 million in fiscal 2008 to $47.8 million in
fiscal 2009. Severance costs included in cost of sales were
$604,000 in fiscal 2009 and $142,000 in fiscal 2008.
Selling,
General and Administrative Expenses
Selling, general and administrative expenses were
$17.7 million, or 19.4% of total sales, in fiscal 2010, as
compared to $19.5 million, or 38.6% of total sales, in
fiscal 2009 and $29.0 million, or 37.1% of total sales, in
fiscal 2008. The decrease in selling, general and administrative
expenses in fiscal 2010 as compared to fiscal 2009 and fiscal
2009 as compared to fiscal 2008 related primarily to the cost
reduction initiatives associated with reductions in headcount
and salary reductions taken in fiscal 2009 and improved service
technician utilization rates. Severance costs included in
selling, general and administrative expense were
$1.1 million in fiscal 2009 and $1.3 million in fiscal
2008. The decrease of selling, general and administrative
expenses in fiscal 2010 as compared to fiscal 2009 was partially
offset by discretionary incentive compensation expense of
$1,250,000 in fiscal 2010 and higher non-cash stock compensation
expense of $703,000 in fiscal 2010 compared to $301,000 in
fiscal 2009. The higher non-cash stock compensation expense in
fiscal 2010 was due to vesting under our employees stock
purchase plan and the increase in our stock price. There was no
discretionary compensation expense in fiscal 2009 or fiscal 2008.
Research
and Development Expenses
Research and development expenses for fiscal 2010 were
$12.7 million, or 14.0% of total sales, as compared to
$14.7 million, or 29.1% of total sales, in fiscal 2009 and
$19.0 million, or 24.2% of total sales, in fiscal 2008. The
decrease in fiscal 2010 as compared to fiscal 2009 related
primarily to the cost reduction initiatives associated with
reductions in headcount and salary reductions taken in fiscal
2009 and $0.9 million of severance cost in fiscal 2009. The
decrease was partially offset by discretionary incentive
compensation expense of $875,000 in fiscal 2010 and higher
non-cash stock compensation expense of $604,000 in fiscal
29
2010 as compared to $129,000 in fiscal 2009. The higher non-cash
stock compensation expense in fiscal 2010 was due to vesting
under our employees stock purchase plan and the increase in our
stock price. The decrease in fiscal 2009 as compared to fiscal
2008 related primarily to the cost reduction initiatives
associated with reductions in headcount and salary reductions
taken in fiscal 2009, partially offset by $0.9 million of
severance costs in fiscal 2009 as compared to $0.5 million
in fiscal 2008. There was no discretionary incentive
compensation expense in fiscal 2009 or fiscal 2008. The majority
of our research and development resources are focused on
broadening the applications capabilities of, and supporting
demonstrations and evaluations for, our products, in particular,
in our
ORION®
single wafer and
ZETA®
ViPRtm
programs and product cost reduction efforts.
Gain on
Sale of Marketable Securities/(Impairment of
Investments)
We recorded a gain on sale of marketable securities of $54,000
in fiscal 2010 and $110,000 in fiscal 2009 associated with ARS
redemptions. We recorded an other than temporary impairment of
$353,000 in fiscal 2008 associated with our ARS. See further
discussion related to ARS transactions at Note 16 of Notes
to Consolidated Financial Statements.
Income
Tax (Benefit) Expense
We recorded income tax benefit of $63,000 in fiscal 2010,
primarily related to the reversal of uncertain tax positions as
a result of a lapse of the applicable statue of limitations. We
recorded an income tax benefit of $84,000 in fiscal 2009 related
primarily to research and development credit utilization in lieu
of bonus depreciation and the reversal of uncertain tax
positions as a result of a lapse of the applicable statue of
limitations. We recorded income tax benefit of $624,000 in
fiscal 2008 related to uncertain tax positions that were
effectively settled with tax authorities during fiscal 2008,
partially offset by state income tax expense and foreign tax
expense. As of August 28, 2010 and August 29, 2009, we
had $0.4 million and $0.5 million, respectively, of
liabilities recorded related to unrecognized tax benefits.
Accrued interest and penalties on these unrecognized tax
benefits were $0.1 million as of August 28, 2010 and
August 29, 2009. We recognize potential interest and
penalties related to income tax positions, if any, as a
component of provision for income taxes on the Consolidated
Statements of Operations. Included in the liability balance as
of August 28, 2010 are approximately $0.3 million of
unrecognized tax benefits that, if recognized, will affect our
effective tax rate.
Our deferred tax assets on the balance sheet as of
August 28, 2010 have been fully reserved for with a
valuation allowance. We do not expect to reduce our valuation
allowance until we are consistently profitable on a quarterly
basis.*
We are utilizing approximately $15.6 million of net
operating loss for fiscal 2010 federal income tax purposes. We
had approximately $171.5 million of net operating losses at
August 28, 2010 to be carried forward, which will begin to
expire in fiscal 2011 through fiscal 2030, if not utilized. Of
this amount, approximately $15.0 million is subject to
Internal Revenue Code Section 382 limitations on
utilization, which limits the amount that we can offset taxable
income to approximately $1.4 million per year.
Net
Income (Loss)
Net income was $13.0 million in fiscal 2010 as compared to
net losses of $17.6 million in fiscal 2009 and
$13.6 million in fiscal 2008. Net income in fiscal 2010 as
compared to net loss in fiscal 2009 related to increased sales
associated with improved industry conditions and cost reduction
initiatives. The increase in net loss in fiscal 2009 as compared
to fiscal 2008 was primarily due to lower sales and gross margin
in fiscal 2009 and higher severance costs in fiscal 2009,
partially offset by lower operating expenses.
Liquidity
and Capital Resources
Our cash, restricted cash, cash equivalents and marketable
securities were approximately $38.3 million as of
August 28, 2010, an increase of $26.3 million from the
end of fiscal 2009. The net increase was primarily due to
$17.6 million net proceeds from a public offering in June
2010 of 6.2 million shares of our common
30
stock, $9.2 million generated from operations and
$0.6 million of proceeds from the issuance of other common
stock under our stock plans. The increase was net of
$0.6 million in capital expenditures.
As of August 28, 2010, we had investments in ARS reported
at a fair value of $3.6 million after reflecting a
$0.2 million other than temporary impairment against
$3.8 million par value. The other than temporary impairment
was recorded in fiscal 2008. We value the majority of our ARS
using a
mark-to-model
approach that relies on discounted cash flows, market data and
inputs derived from similar instruments. This model takes into
account, among other variables, the base interest rate, credit
spreads, downgrade risks and default/recovery risk, the
estimated time required to work out the disruption in the
traditional auction process and its effect on liquidity, and the
effects of insurance and other credit enhancements. However, we
value certain ARS based on the price at which the issuer offered
to repurchase such ARS in a conditional tender offer we received
in October 2008 from the issuer.
The ARS we hold are marketable securities with long-term stated
maturities for which the interest rates are reset every
28 days through an auction process. The auctions have
historically provided a liquid market for these securities as
investors historically could readily sell their investments at
auction. Due to the liquidity issues experienced in global
credit and capital markets, the ARS held by us have experienced
multiple failed auctions, beginning on February 19, 2008,
as the amount of securities submitted for sale has exceeded the
amount of purchase orders. During the second quarter of fiscal
2008, we reclassified $8.5 million of ARS from current
marketable securities to long-term marketable securities on the
Consolidated Balance Sheet due to difficulties encountered at
auction and the conditions in the general debt markets, creating
uncertainty as to when successful auctions may be reestablished.
During the third and fourth quarters of fiscal 2008,
$0.8 million of ARS were partially redeemed. An additional
$3.0 million were redeemed in fiscal 2009 and
$0.9 million were redeemed in fiscal 2010.
All of the ARS held by us continue to carry investment grade
ratings and have not experienced any payment defaults. The
$3.8 million par value ARS held by us are backed by student
loans and are collateralized, insured and guaranteed by the
United States Federal Department of Education and are classified
as long-term. ARS that did not successfully auction reset to the
maximum interest rate as prescribed in the underlying indenture
and the issuers of all of our holdings continue to be current
with their interest payments. If uncertainties in the credit and
capital markets continue, these markets deteriorate further or
any ARS we hold are downgraded by the rating agencies, the
Company may be required to recognize additional impairment
charges.
In addition, these ARS may not provide the liquidity to us as we
need it, and it could take until the final maturity of the
underlying notes (from 25 to 33 years) to realize our
investments recorded value. Currently, there is a very
limited market for any of these securities and future
liquidations at this time, if possible, would likely be at a
significant discount.
Accounts receivable increased by $10.2 million from the end
of fiscal 2009. The increase in trade accounts receivable
related primarily to the increase in shipments from
$12.5 million in the fourth quarter of fiscal 2009 to
$29.1 million in the fourth quarter of fiscal 2010. Trade
receivables will fluctuate quarter to quarter depending on
individual customers timing of ship dates, payment terms
and cash flow conditions. In certain situations, extended
payment terms may be granted to customers.
Inventory increased approximately $4.9 million to
$26.1 million at the end of fiscal 2010, as compared to
$21.2 million at the end of fiscal 2009. The increase in
inventory related to increases in work in process and finished
goods inventory related to anticipated fiscal 2011 first quarter
shipments and an increase in demonstration tools. Inventory
provisions were $9.4 million at August 28, 2010, as
compared to provisions of $9.2 million at the end of fiscal
2009.
Trade accounts payable increased approximately $5.2 million
to $8.4 million as of August 28, 2010, as compared to
$3.2 million at the end of fiscal 2009, related to the
timing of inventory receipts and vendor payments.
Deferred profit was $2.7 million at the end of fiscal 2010
and $2.4 million at the end of fiscal 2009.
31
As of August 28, 2010, our current ratio was 4.4 to 1.0,
and working capital was $64.4 million.
The following table provides aggregate information about our
contractual payment obligations and the periods in which
payments are due (in thousands):
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Payments Due by Period
|
|
|
|
|
|
|
Less than
|
|
|
1-3
|
|
|
3-5
|
|
|
More than
|
|
Contractual Obligations
|
|
Total
|
|
|
1 Year
|
|
|
Years
|
|
|
Years
|
|
|
5 Years
|
|
|
Operating Lease Obligations
|
|
$
|
747
|
|
|
$
|
530
|
|
|
$
|
172
|
|
|
$
|
44
|
|
|
$
|
1
|
|
Purchase Obligations(1)
|
|
|
11,454
|
|
|
|
11,454
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Royalty Obligations
|
|
|
608
|
|
|
|
608
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Other Long-Term Obligations(2)
|
|
|
1,125
|
|
|
|
125
|
|
|
|
500
|
|
|
|
500
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Total
|
|
$
|
13,934
|
|
|
$
|
12,717
|
|
|
$
|
672
|
|
|
$
|
544
|
|
|
$
|
1
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
(1) |
|
Purchase obligations include purchase orders entered into in the
ordinary course of business. |
|
(2) |
|
Other long-term obligations represent payments related to
minimum royalty payments or discounts granted under a license
agreement. |
The contractual obligations table above does not include
$0.4 million accrued for unrecognized tax benefits, as the
timing of payments or reversals is uncertain.
Capital expenditures were $0.6 million in fiscal 2010,
$0.3 million in fiscal 2009 and $1.7 million in fiscal
2008.
In October 2008, we authorized the use of up to $3 million
of our cash to repurchase outstanding shares of our common stock
to be effected from time to time in transactions in the public
markets or in private purchases. The timing and extent of any
repurchases will depend upon market conditions, the trading
price of our shares and other factors, subject to the
restrictions relating to volume, price and timing of share
repurchases under applicable law. The repurchase program may be
modified, suspended or terminated at any time by us without
notice. We did not repurchase any of our common stock in fiscal
2009 or fiscal 2010.
We filed a shelf registration statement with the SEC on
March 30, 2010 to register an indeterminate number of
shares of common stock, preferred stock, warrants and units, the
aggregate initial offering price of which is not to exceed
$50 million. On June 14, 2010, we closed on a public
offering of 6.2 million shares of our common stock at a
public offering price of $3.05 per share. Net proceeds from the
sale of the shares, after underwriter discounts and commissions
and other offering expenses, were approximately
$17.6 million. We intend to use the net proceeds from the
offering for general corporate and working capital purposes.
Following the June 2010 stock offering, we have registered under
the shelf registration statement an indeterminate number of
shares of common stock, preferred stock, warrants and units with
an aggregate initial offering price not to exceed
$31 million.
We believe that with existing cash, cash receipts, cash
equivalents, marketable securities and internally generated
funds, there will be sufficient funds to meet our currently
projected working capital requirements, and to meet other cash
requirements through at least fiscal 2011.* We believe that
success in our industry requires substantial capital to maintain
the flexibility to take advantage of opportunities as they
arise. One of our strategic objectives is, as market and
business conditions warrant, to consider divestitures,
investments or acquisitions of businesses, products or
technologies. We may fund such activities with additional equity
or debt financing.* The sale of additional equity or debt
securities, whether to maintain flexibility or to meet strategic
objectives, could result in additional dilution to our
shareholders.*
Off-Balance
Sheet Arrangements
We do not have any off balance sheet arrangements.
32
|
|
ITEM 7A.
|
QUANTITATIVE
AND QUALITATIVE DISCLOSURES ABOUT MARKET RISK
|
Our cash flows and earnings are subject to fluctuations in
foreign exchange rates due to certain foreign investments. As of
August 28, 2010, our investments included a 100% interest
in our Europe and Asia sales and service offices and a 20%
interest in Apprecia Technology, Inc. (formerly known as
mFSI LTD), which operates in Japan. We denominate the
majority of our sales outside of the U.S. in
U.S. dollars.
We have direct sales, service and applications support and
logistics responsibilities for our products in Europe and the
Asia-Pacific regions and incur labor, service and other expenses
in foreign currencies. As a result, we may be exposed to
fluctuations in foreign exchange rate risks.* As of
August 28, 2010, we had not entered into any hedging
activities and our foreign currency transaction gains and losses
for fiscal 2009 were insignificant. We are currently evaluating
various hedging activities and other options to minimize these
risks.
We do not have significant exposure to changing interest rates
as we currently have no material long-term debt. As of the end
of fiscal 2010, amortized cost approximated market value for all
outstanding marketable securities. We do not undertake any
specific actions to cover our exposure to interest rate risk and
we are not party to any interest rate risk management
transactions. The impact on loss before income taxes of a 1%
change in short-term interest rates would be approximately
$383,000 based on our cash, restricted cash, cash equivalents
and marketable securities balances as of August 28, 2010.
As of August 28, 2010, our investment portfolio included
ARS reported at a fair value of $3.6 million after
reflecting a $0.2 million other than temporary impairment
against $3.8 million par value. The other than temporary
impairment was recorded in fiscal 2008. The interest rates of
our ARS are reset every 28 days through an auction process
and at the end of each reset period, investors can sell or
continue to hold the securities at par.
The ARS held by us are backed by student loans and are
collateralized, insured and guaranteed by the United States
Federal Department of Education. All ARS held by us are rated by
the major independent rating agencies and carry investment grade
ratings and have not experienced any payment defaults.
All of our ARS have experienced failed auctions due to sell
orders exceeding buy orders. These failures are not believed to
be a credit issue, but rather reflect a lack of liquidity in the
market for these securities. Under the contractual terms, the
issuer is obligated to pay penalty interest rates should an
auction fail. In the event we need to access funds associated
with failed auctions, they are not expected to be accessible
until a successful auction occurs, the issuer redeems the issue,
a buyer is found outside of the auction process or the
underlying securities have matured and are paid upon maturity in
accordance with their terms.
We determined and recorded an other than temporary impairment of
approximately $0.4 million as of August 28, 2008.
Approximately $0.1 million of this other than temporary
impairment was reversed in fiscal 2010 associated with the
redemption of approximately $0.9 million ARS at par.
Approximately $0.1 million of this other than temporary
impairment was reversed in fiscal 2009 associated with the
redemption of approximately $3.0 million ARS at par. If the
issuers of the ARS are unable to successfully close future
auctions or do not redeem the ARS, or the United States
government fails to support its guaranty of the obligations, we
may be required to record additional impairment charges.
33
|
|
ITEM 8.
|
FINANCIAL
STATEMENTS AND SUPPLEMENTARY DATA
|
FSI
INTERNATIONAL, INC. AND SUBSIDIARIES
CONSOLIDATED STATEMENTS OF OPERATIONS
Years ended August 28, 2010,
August 29, 2009 and August 30, 2008
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
2010
|
|
|
2009
|
|
|
2008
|
|
|
|
(In thousands, except per share amounts)
|
|
|
Sales
|
|
$
|
90,985
|
|
|
$
|
50,484
|
|
|
$
|
78,256
|
|
Cost of goods sold
|
|
|
48,067
|
|
|
|
34,057
|
|
|
|
45,271
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Gross margin
|
|
|
42,918
|
|
|
|
16,427
|
|
|
|
32,985
|
|
Selling, general and administrative expenses
|
|
|
17,684
|
|
|
|
19,504
|
|
|
|
29,012
|
|
Research and development expenses
|
|
|
12,703
|
|
|
|
14,674
|
|
|
|
18,962
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Operating income (loss)
|
|
|
12,531
|
|
|
|
(17,751
|
)
|
|
|
(14,989
|
)
|
Interest expense
|
|
|
|
|
|
|
(41
|
)
|
|
|
(144
|
)
|
Interest income
|
|
|
103
|
|
|
|
261
|
|
|
|
918
|
|
Gain on sale of marketable securities (impairment of investments)
|
|
|
54
|
|
|
|
110
|
|
|
|
(353
|
)
|
Other income (expense), net
|
|
|
270
|
|
|
|
(287
|
)
|
|
|
305
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Income (loss) before income taxes
|
|
|
12,958
|
|
|
|
(17,708
|
)
|
|
|
(14,263
|
)
|
Income tax benefit
|
|
|
(63
|
)
|
|
|
(84
|
)
|
|
|
(624
|
)
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Net income (loss)
|
|
$
|
13,021
|
|
|
$
|
(17,624
|
)
|
|
$
|
(13,639
|
)
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Income (loss) per share
|
|
|
|
|
|
|
|
|
|
|
|
|
Basic
|
|
$
|
0.39
|
|
|
$
|
(0.57
|
)
|
|
$
|
(0.45
|
)
|
Diluted
|
|
$
|
0.39
|
|
|
$
|
(0.57
|
)
|
|
$
|
(0.45
|
)
|
Weighted average common shares basic
|
|
|
33,301
|
|
|
|
31,129
|
|
|
|
30,648
|
|
Weighted average common shares diluted
|
|
|
33,628
|
|
|
|
31,129
|
|
|
|
30,648
|
|
The accompanying notes are an integral part of the consolidated
financial statements.
34
|
|
|
|
|
|
|
|
|
|
|
August 28,
|
|
|
August 29,
|
|
|
|
2010
|
|
|
2009
|
|
|
|
(In thousands)
|
|
|
ASSETS
|
Current assets:
|
|
|
|
|
|
|
|
|
Cash and cash equivalents
|
|
$
|
34,365
|
|
|
$
|
6,760
|
|
Restricted cash
|
|
|
322
|
|
|
|
818
|
|
Trade accounts receivable, less allowance for doubtful accounts
of $112 and $125, respectively
|
|
|
18,935
|
|
|
|
8,697
|
|
Inventories, net
|
|
|
26,145
|
|
|
|
21,171
|
|
Other receivables
|
|
|
2,489
|
|
|
|
2,624
|
|
Prepaid expenses and other current assets
|
|
|
1,184
|
|
|
|
1,710
|
|
|
|
|
|
|
|
|
|
|
Total current assets
|
|
|
83,440
|
|
|
|
41,780
|
|
|
|
|
|
|
|
|
|
|
Property, plant and equipment, net
|
|
|
13,204
|
|
|
|
15,147
|
|
Long-term marketable securities
|
|
|
3,612
|
|
|
|
4,458
|
|
Investment
|
|
|
460
|
|
|
|
460
|
|
Other assets
|
|
|
1,582
|
|
|
|
1,840
|
|
|
|
|
|
|
|
|
|
|
Total assets
|
|
$
|
102,298
|
|
|
$
|
63,685
|
|
|
|
|
|
|
|
|
|
|
|
LIABILITIES AND STOCKHOLDERS EQUITY
|
Current liabilities:
|
|
|
|
|
|
|
|
|
Trade accounts payable
|
|
$
|
8,396
|
|
|
$
|
3,170
|
|
Accrued expenses
|
|
|
8,020
|
|
|
|
6,972
|
|
Customer deposits
|
|
|
|
|
|
|
12
|
|
Deferred profit
|
|
|
2,669
|
|
|
|
2,362
|
|
|
|
|
|
|
|
|
|
|
Total current liabilities
|
|
|
19,085
|
|
|
|
12,516
|
|
Long-term accrued expenses
|
|
|
410
|
|
|
|
512
|
|
Commitments and contingencies (Notes 3 and 17)
|
|
|
|
|
|
|
|
|
Stockholders equity:
|
|
|
|
|
|
|
|
|
Preferred stock, no par value; 9,700 shares authorized;
none issued and outstanding
|
|
|
|
|
|
|
|
|
Series A Junior Participating Preferred stock, no par
value; 300 shares authorized; none issued and outstanding
|
|
|
|
|
|
|
|
|
Common stock, no par value; 50,000 shares authorized;
issued and outstanding, 38,544 and 31,636 shares,
respectively
|
|
|
244,796
|
|
|
|
226,562
|
|
Accumulated deficit
|
|
|
(164,570
|
)
|
|
|
(177,591
|
)
|
Accumulated other comprehensive loss
|
|
|
(1,649
|
)
|
|
|
(1,027
|
)
|
Other stockholders equity
|
|
|
4,226
|
|
|
|
2,713
|
|
|
|
|
|
|
|
|
|
|
Total stockholders equity
|
|
|
82,803
|
|
|
|
50,657
|
|
|
|
|
|
|
|
|
|
|
Total liabilities and stockholders equity
|
|
$
|
102,298
|
|
|
$
|
63,685
|
|
|
|
|
|
|
|
|
|
|
The accompanying notes are an integral part of the consolidated
financial statements.
35
FSI
INTERNATIONAL, INC. AND SUBSIDIARIES
CONSOLIDATED STATEMENTS OF STOCKHOLDERS EQUITY AND
COMPREHENSIVE INCOME (LOSS)
Years ended August 28, 2010, August 29, 2009 and
August 30, 2008
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Accumulated
|
|
|
|
|
|
|
|
|
|
Common Stock
|
|
|
|
|
|
Other
|
|
|
Other
|
|
|
|
|
|
|
Number of
|
|
|
|
|
|
Accumulated
|
|
|
Comprehensive
|
|
|
Stockholders
|
|
|
|
|
|
|
Shares
|
|
|
Amount
|
|
|
Deficit
|
|
|
(Loss) Income
|
|
|
Equity
|
|
|
Total
|
|
|
|
(In thousands)
|
|
|
Balance August 25, 2007
|
|
|
30,545
|
|
|
$
|
225,974
|
|
|
$
|
(146,328
|
)
|
|
$
|
(575
|
)
|
|
$
|
1,695
|
|
|
$
|
80,766
|
|
Stock issuance
|
|
|
294
|
|
|
|
378
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
378
|
|
Comprehensive loss:
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Foreign currency translation adjustment
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
(422
|
)
|
|
|
|
|
|
|
(422
|
)
|
Net loss
|
|
|
|
|
|
|
|
|
|
|
(13,639
|
)
|
|
|
|
|
|
|
|
|
|
|
(13,639
|
)
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Total comprehensive loss
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
(14,061
|
)
|
Stock compensation expense
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
575
|
|
|
|
575
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Balance August 30, 2008
|
|
|
30,839
|
|
|
|
226,352
|
|
|
|
(159,967
|
)
|
|
|
(997
|
)
|
|
|
2,270
|
|
|
|
67,658
|
|
Stock issuance
|
|
|
797
|
|
|
|
210
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
210
|
|
Comprehensive loss:
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Foreign currency translation adjustment
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
(30
|
)
|
|
|
|
|
|
|
(30
|
)
|
Net loss
|
|
|
|
|
|
|
|
|
|
|
(17,624
|
)
|
|
|
|
|
|
|
|
|
|
|
(17,624
|
)
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Total comprehensive loss
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
(17,654
|
)
|
Stock compensation expense
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
443
|
|
|
|
443
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Balance August 29, 2009
|
|
|
31,636
|
|
|
|
226,562
|
|
|
|
(177,591
|
)
|
|
|
(1,027
|
)
|
|
|
2,713
|
|
|
|
50,657
|
|
Net proceeds from public offering of common stock
|
|
|
6,210
|
|
|
|
17,605
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
17,605
|
|
Stock issuance
|
|
|
698
|
|
|
|
629
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
629
|
|
Comprehensive income:
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Foreign currency translation adjustment
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
(622
|
)
|
|
|
|
|
|
|
(622
|
)
|
Net income
|
|
|
|
|
|
|
|
|
|
|
13,021
|
|
|
|
|
|
|
|
|
|
|
|
13,021
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Total comprehensive income
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
12,399
|
|
Stock compensation expense
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
1,513
|
|
|
|
1,513
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Balance August 28, 2010
|
|
|
38,544
|
|
|
$
|
244,796
|
|
|
$
|
(164,570
|
)
|
|
$
|
(1,649
|
)
|
|
$
|
4,226
|
|
|
$
|
82,803
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
The accompanying notes are an integral part of the consolidated
financial statements.
36
FSI
INTERNATIONAL, INC. AND SUBSIDIARIES
CONSOLIDATED STATEMENTS OF CASH FLOWS
Years ended August 28, 2010, August 29, 2009 and
August 30, 2008
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
2010
|
|
|
2009
|
|
|
2008
|
|
|
|
(In thousands)
|
|
|
Operating Activities
|
|
|
|
|
|
|
|
|
|
|
|
|
Net income (loss)
|
|
$
|
13,021
|
|
|
$
|
(17,624
|
)
|
|
$
|
(13,639
|
)
|
Adjustments to reconcile net income (loss) to net cash provided
by (used in) operating activities:
|
|
|
|
|
|
|
|
|
|
|
|
|
Stock compensation expense
|
|
|
1,444
|
|
|
|
482
|
|
|
|
565
|
|
(Gain on sale of marketable securities)/impairment of investments
|
|
|
(54
|
)
|
|
|
(110
|
)
|
|
|
353
|
|
Depreciation
|
|
|
2,561
|
|
|
|
3,398
|
|
|
|
3,818
|
|
Amortization
|
|
|
|
|
|
|
61
|
|
|
|
436
|
|
(Gain) loss on sale or disposal of equipment
|
|
|
(88
|
)
|
|
|
46
|
|
|
|
9
|
|
Changes in operating assets and liabilities:
|
|
|
|
|
|
|
|
|
|
|
|
|
Restricted cash
|
|
|
121
|
|
|
|
(43
|
)
|
|
|
(124
|
)
|
Trade accounts receivable
|
|
|
(10,237
|
)
|
|
|
916
|
|
|
|
7,995
|
|
Inventories
|
|
|
(4,932
|
)
|
|
|
5,998
|
|
|
|
2,455
|
|
Prepaid expenses and other assets
|
|
|
918
|
|
|
|
2,933
|
|
|
|
(650
|
)
|
Trade accounts payable
|
|
|
5,226
|
|
|
|
(1,135
|
)
|
|
|
846
|
|
Accrued expenses
|
|
|
973
|
|
|
|
(3,530
|
)
|
|
|
(307
|
)
|
Customer deposits
|
|
|
(12
|
)
|
|
|
5
|
|
|
|
(1,299
|
)
|
Deferred profit
|
|
|
307
|
|
|
|
(1,505
|
)
|
|
|
536
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Net cash provided by (used in) operating activities
|
|
|
9,248
|
|
|
|
(10,108
|
)
|
|
|
994
|
|
Investing Activities
|
|
|
|
|
|
|
|
|
|
|
|
|
Capital expenditures
|
|
|
(618
|
)
|
|
|
(325
|
)
|
|
|
(1,702
|
)
|
Purchases of marketable securities
|
|
|
|
|
|
|
|
|
|
|
(49,650
|
)
|
Sales of marketable securities
|
|
|
900
|
|
|
|
2,950
|
|
|
|
50,800
|
|
Proceeds from sale of equipment
|
|
|
88
|
|
|
|
|
|
|
|
|
|
Decrease in restricted cash
|
|
|
375
|
|
|
|
|
|
|
|
|
|
Decrease in other assets
|
|
|
|
|
|
|
116
|
|
|
|
128
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Net cash provided by (used in) investing activities
|
|
|
745
|
|
|
|
2,741
|
|
|
|
(424
|
)
|
Financing Activities
|
|
|
|
|
|
|
|
|
|
|
|
|
Net proceeds from public offering of common stock
|
|
|
17,605
|
|
|
|
|
|
|
|
|
|
Net proceeds from issuance of common stock
|
|
|
629
|
|
|
|
210
|
|
|
|
378
|
|
Principle payments on capital leases
|
|
|
|
|
|
|
(841
|
)
|
|
|
(778
|
)
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Net cash provided by (used in) financing activities
|
|
|
18,234
|
|
|
|
(631
|
)
|
|
|
(400
|
)
|
Effect of exchange rate on cash
|
|
|
(622
|
)
|
|
|
(30
|
)
|
|
|
(422
|
)
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Increase (decrease) in cash and cash equivalents
|
|
|
27,605
|
|
|
|
(8,028
|
)
|
|
|
(252
|
)
|
Cash and cash equivalents at beginning of year
|
|
|
6,760
|
|
|
|
14,788
|
|
|
|
15,040
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Cash and cash equivalents at end of year
|
|
$
|
34,365
|
|
|
$
|
6,760
|
|
|
$
|
14,788
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
The accompanying notes are an integral part of the consolidated
financial statements.
37
FSI
INTERNATIONAL, INC. AND SUBSIDIARIES
NOTES TO
CONSOLIDATED FINANCIAL STATEMENTS
|
|
(1)
|
Description
of Business and Summary of Significant Accounting
Policies
|
Description
of Business
FSI International, Inc. (the Company) is a global
supplier of surface conditioning equipment (process equipment
used to etch and clean organic and inorganic materials from the
surface of a silicon wafer) and technology and support services
for microelectronics manufacturing. The Companys broad
portfolio of batch and single-wafer cleaning products includes
process technologies for immersion (a method used to clean
silicon wafers by immersing the wafer in multiple tanks filled
with process chemicals), spray (sprays chemical mixtures, water
and nitrogen in a variety of sequences on to the microelectronic
substrate), vapor (utilizes gas phase chemistries to selectively
remove sacrificial surface films) and CryoKinetic (a momentum
transfer process used to remove non-chemically bonded particles
from the surface of a microelectronic device). The
Companys support services programs provide product and
process enhancements to extend the life of installed FSI
equipment.
The Companys customers include microelectronics
manufacturers located throughout North America, Europe, Japan
and the Asia Pacific region.
Principles
of Consolidation
The accompanying consolidated financial statements include the
accounts of FSI International, Inc. and its wholly owned
subsidiaries, FSI International Asia, Ltd., FSI International
Semiconductor Equipment Pte. Ltd., FSI International (France)
SARL, FSI International (Germany) GmbH, FSI International
(Italy) S.r.l., FSI International (Holding) B.V., FSI
International (Netherlands) B.V., FSI International (UK)
Limited, FSI International (Shanghai) Co., Ltd., FSI
International (Korea) Co., Ltd., FSI International Israel, Ltd.,
SCD Mountain View, Inc., and Semiconductor Systems, Inc. All
intercompany balances and transactions have been eliminated in
consolidation.
The Companys fiscal year ends on the last Saturday in
August and is comprised of 52 or 53 weeks. Fiscal 2010 and
2009 each consisted of a 52-week period. Fiscal 2008 consisted
of a 53-week period.
New
Accounting Pronouncements
In June 2009, the Financial Accounting Standards Board
(FASB) issued Accounting Standards Update
(ASU)
No. 2009-01,
Generally Accepted Accounting Principles (ASC Topic 105),
which established the FASB Accounting Standards
Codificationtm
(the Codification or ASC) as the
official single source of authoritative U.S. generally
accepted accounting principles (GAAP). All existing
accounting standards are superseded. All other accounting
guidance not included in the Codification is considered
non-authoritative. The Codification also includes all relevant
SEC guidance organized using the same topical structure in
separate sections within the Codification.
Following the Codification, the FASB will not issue new
standards in the form of Statements, FASB Staff Positions or
Emerging Issues Task Force Abstracts. Instead, it will issue
Accounting Standards Updates which will serve to update the
Codification, provide background information about the guidance
and provide the basis for conclusions on the changes to the
Codification.
The Codification is not intended to change GAAP, however it
changes the way GAAP is organized and presented. The
Codification is effective for the Companys condensed
consolidated financial statements as of and for the period ended
November 28, 2009 and the principal impact on the financial
statements is limited to disclosures as all future references to
authoritative accounting literature will be referenced in
accordance with the Codification. In order to ease the
transition to the Codification, the Company is providing the
Codification cross-reference alongside the references to the
standards issued and adopted prior to the adoption of the
Codification.
38
FSI
INTERNATIONAL, INC. AND SUBSIDIARIES
NOTES TO
CONSOLIDATED FINANCIAL
STATEMENTS Continued
In December 2007, the FASB issued SFAS 141 (revised 2007)
(SFAS 141R), Business Combinations
(ASC Topic 805), and SFAS 160, Noncontrolling
Interests in Consolidated Financial Statements (ASC Topic
810), to improve, simplify, and converge internationally the
accounting for business combinations and the reporting of
noncontrolling interests in consolidated financial statements,
respectively. The provisions of this guidance were effective for
the Company beginning in the first quarter of fiscal 2010. The
adoption did not have an impact on the Companys
consolidated financial statements.
In October 2009, the FASB issued ASU
No. 2009-13,
Revenue Recognition (ASC Topic 605)
Multiple-Deliverable Revenue Arrangements, a consensus of the
FASB Emerging Issues Task Force. This guidance modifies
the fair value requirements of ASC subtopic
605-25,
Revenue Recognition Multiple Element
Arrangements by allowing the use of the best
estimate of selling price for determining the selling
price of a deliverable. Using this guidance, a vendor is
required to use its best estimate of the selling price when
either vendor specific objective evidence or third-party
evidence of the selling price cannot be determined. In addition,
the residual method of allocating arrangement consideration is
no longer permitted. This guidance is effective for revenue
arrangements entered into or materially modified in fiscal years
beginning on or after June 15, 2010. Early adoption is
permitted and the Company adopted this guidance in the first
quarter of fiscal 2010. The adoption did not have a material
impact on the Companys consolidated financial statements
for fiscal 2010. The adoption may have a material impact in
future fiscal quarters.
Revenue
Recognition
The Company recognizes revenue when persuasive evidence of an
arrangement exists, delivery has occurred or services have been
rendered, the purchase price is fixed or determinable and
collectibility is reasonably assured. If the Companys
equipment sales involve sales to its existing customers who have
previously accepted the same type(s) of equipment with the same
type(s) of specifications, the Company accounts for the product
sale as a multiple element arrangement. Revenue from multiple
element arrangements is allocated among the separate accounting
units based on the relative selling price of each deliverable.
The Company recognizes the equipment revenue upon shipment and
transfer of title. The other elements include installation,
service contracts and training. Equipment installation revenue
is valued based on estimated service person hours to complete
installation and quoted service labor rates and is recognized
when the labor has been completed and the equipment has been
accepted by the customer. Service contract revenue is determined
based on estimated service person hours to complete the service
and quoted service labor rates and is recognized over the
contract period. Training revenue is determined based on quoted
training class prices and is recognized when the customers
complete the training classes or when a customer-specific
training period has expired. The quoted service labor rates and
training class prices are rates actually charged and billed to
the Companys customers.
All other product sales with customer specific acceptance
provisions are recognized upon customer acceptance. Revenue
related to spare parts sales is recognized upon shipment or
delivery based on the title transfer terms. Revenue related to
maintenance and service contracts are recognized ratably over
the duration of the contracts.
Other
Comprehensive Loss
Other comprehensive loss pertains to revenues, expenses, gains,
and losses that are not included in net loss, but rather are
recorded directly in stockholders equity. For fiscal 2010,
2009 and 2008, other comprehensive loss consisted of foreign
currency translation adjustments.
Cash and
Cash Equivalents
Cash and cash equivalents include cash and highly liquid
investments purchased with an original maturity of three months
or less and are valued at cost, which approximates fair values.
The Company utilizes a cash
39
FSI
INTERNATIONAL, INC. AND SUBSIDIARIES
NOTES TO
CONSOLIDATED FINANCIAL
STATEMENTS Continued
management system under which a book cash overdraft may exist
for its primary disbursement account. This overdraft represents
uncleared checks in excess of cash balances in the bank account
at the end of the reporting period and has been reclassified to
current liabilities on the Consolidated Balance Sheets and is
reflected in cash provided by (used in) operating activities in
the Consolidated Statements of Cash Flows. The Company transfers
cash on an as-needed basis to fund clearing checks.
Marketable
Securities
The Company accounts for its marketable securities as
available-for-sale
and reports them at fair market value. Fair market values of the
majority of the Companys auction rate securities
(ARS) are based on a
mark-to-model
approach. Other ARS are valued based on the price at which the
issuer offered to repurchase such ARS in a conditional tender
offer the Company received in October 2008 from the issuer. In
determining the fair market value of its ARS, the Company has
made assumptions related to interest rates, credit worthiness of
the issuer and the Companys ability and intent to hold the
investments until recovery of fair value. The Company
categorizes losses on debt securities
available-for-sale
or
held-to-maturity
determined by management to be
other-than-temporarily
impaired into losses due to credit issues and losses related to
all other factors.
Other-than-temporary
impairment (OTTI) exists when it is more likely than
not that the security will mature or be sold before its
amortized cost basis can be recovered. An OTTI related to credit
losses should be recognized through earnings. An OTTI related to
other factors should be recognized in other comprehensive income.
Allowance
for Doubtful Accounts
The Company makes estimates of the uncollectibility of accounts
receivable. Management specifically analyzes accounts receivable
and analyzes historical bad debts, customer concentrations,
customer credit-worthiness, current economic trends and changes
in customer payment terms when evaluating the adequacy of the
allowance for doubtful accounts. Accounts receivable are
determined to be past due based on payment terms and are charged
off after management determines that they are uncollectible.
A rollforward of the allowance for doubtful accounts for the
fiscal years ended August 28, 2010, August 29, 2009
and August 30, 2008 is as follows (in thousands):
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Balance at
|
|
|
|
|
|
|
|
Balance
|
|
|
Beginning
|
|
|
|
Bad Debt
|
|
Write-
|
|
at End
|
|
|
of Year
|
|
Recoveries
|
|
Expense
|
|
offs
|
|
of Year
|
|
Fiscal year ended August 28, 2010
|
|
$
|
125
|
|
|
$
|
(13
|
)
|
|
$
|
|
|
|
$
|
|
|
|
$
|
112
|
|
Fiscal year ended August 29, 2009
|
|
$
|
128
|
|
|
$
|
(21
|
)
|
|
$
|
18
|
|
|
$
|
|
|
|
$
|
125
|
|
Fiscal year ended August 30, 2008
|
|
$
|
196
|
|
|
$
|
(68
|
)
|
|
$
|
|
|
|
$
|
|
|
|
$
|
128
|
|
The Company collected $13,000 of receivables in fiscal 2010,
$21,000 of receivables in fiscal 2009 and $68,000 of receivables
in fiscal 2008 that had previously been written down to zero,
resulting in credits to selling, general and administrative
expenses.
Inventories
Inventories are valued at the lower of cost, determined by the
first-in,
first-out method, or net realizable value. The Company records
provisions for inventory shrinkage and for potentially excess,
obsolete and slow moving inventory. The amounts of these
provisions are based upon historical loss trends, inventory
levels, physical inventory and cycle count adjustments, expected
product lives, forecasted sales demand and recoverability.
40
FSI
INTERNATIONAL, INC. AND SUBSIDIARIES
NOTES TO
CONSOLIDATED FINANCIAL
STATEMENTS Continued
Property,
Plant and Equipment
Building and related costs are carried at cost and depreciated
on a straight-line basis over a 5 to
30-year
period. Leasehold improvements are carried at cost and
depreciated over a three- to fifteen-year period or the term of
the underlying lease, whichever is shorter. All other property,
plant and equipment assets are carried at cost and depreciated
on a straight-line basis over their estimated economic lives.
Principal economic lives for these assets are one to seven
years. Software developed for internal use is depreciated over
three to five years beginning when the system is placed in
service. Maintenance and repairs are expensed as incurred;
significant renewals and improvements are capitalized.
Impairment
of Long-Lived Assets
The Company assesses the impairment of long-lived assets,
whenever events or changes in circumstances indicate that the
carrying amount may not be recoverable. An asset or asset group
is considered impaired if its carrying amount exceeds the
undiscounted future net cash flow the asset or asset group is
expected to generate. If an asset or asset group is considered
to be impaired, the impairment to be recognized is measured by
the amount by which the carrying amount of the asset exceeds its
fair value. If estimated fair value is less than the book value,
the asset is written down to the estimated fair value and an
impairment loss is recognized.
Income
Taxes
Deferred income taxes are provided in amounts sufficient to give
effect to temporary differences between financial and tax
reporting. The Company accounts for tax credits as reductions of
income tax expense in the year in which such credits are
allowable for tax purposes.
The Companys effective income tax rate is based on income,
statutory tax rates and tax planning opportunities available to
it in the various jurisdictions in which it operates. The
Company has established valuation allowances against its
U.S. and
non-U.S. net
operating losses to reflect the uncertainty of its ability to
fully utilize these benefits given the limited carryforward
periods permitted by the various jurisdictions. The evaluation
of the realizability of the Companys net operating losses
requires the use of considerable management judgment to estimate
the future taxable income for the various jurisdictions, for
which the ultimate amounts and timing of such estimates may
differ. The valuation allowance can also be impacted by changes
in the tax regulations.
Product
Warranty
Generally, the Company warrants to the original purchaser that
new equipment manufactured by it is free from defects in
material and workmanship for six months to two years, depending
upon the product or customer agreement. Provision is made for
the estimated cost of maintaining product warranties at the time
the product is sold. Special warranty provisions are also
accrued for major rework campaigns.
Warranty provisions and claims for the fiscal years ended
August 28, 2010, August 29, 2009 and August 30,
2008 were as follows (in thousands):
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
August 28,
|
|
|
August 29,
|
|
|
August 30,
|
|
|
|
2010
|
|
|
2009
|
|
|
2008
|
|
|
Beginning balance
|
|
$
|
1,702
|
|
|
$
|
2,757
|
|
|
$
|
3,811
|
|
Warranty provisions
|
|
|
295
|
|
|
|
405
|
|
|
|
1,153
|
|
Warranty claims
|
|
|
(870
|
)
|
|
|
(1,460
|
)
|
|
|
(2,207
|
)
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Ending Balance
|
|
$
|
1,127
|
|
|
$
|
1,702
|
|
|
$
|
2,757
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
41
FSI
INTERNATIONAL, INC. AND SUBSIDIARIES
NOTES TO
CONSOLIDATED FINANCIAL
STATEMENTS Continued
During fiscal 2010, the Company reversed $480,000 of unused
prior period warranty accruals associated with improved claims
experience.
Foreign
Currency Translation
For each of our foreign operating subsidiaries the functional
currency is generally its local currency. Assets and liabilities
of foreign operations are translated into U.S. dollars
using month-end exchange rates, and revenue and expenses are
translated into U.S. dollars using average exchange rates.
The effects of foreign currency translation adjustments are
included as a component of accumulated other comprehensive
(loss) income in stockholders equity.
Foreign currency transaction gains and losses are a result of
the effect of exchange rate changes on transactions denominated
in currencies other than the functional currency. Foreign
currency transaction gains (losses) are included in other
income, net.
Income
(Loss) Per Common Share
Basic loss per share is computed by dividing net loss by the
weighted average number of shares of common stock outstanding
during the period. Diluted income per share is computed by
dividing net income by the weighted average number of common
stock and common stock equivalents outstanding during the
period. The number of common stock equivalents excluded from
diluted income per share was 2,818,000 for fiscal 2010. Diluted
loss per common share for fiscal years 2009 and 2008 does not
include the effect of potential dilutive common stock
equivalents as their inclusion would be antidilutive. The number
of potential dilutive common stock equivalents excluded from the
computation of diluted loss per share was 3,399,000 for fiscal
2009, and 3,679,000 for fiscal 2008.
Use of
Estimates
The preparation of financial statements in conformity with GAAP
requires management to make estimates and assumptions that could
affect the reported amounts of assets and liabilities and
disclosure of contingent assets and liabilities at the date of
the financial statements and the reported amounts of sales
revenue and expenses during the reporting period. Actual results
could differ from those estimates.
Employee
Stock Plans
For fiscal 2010, 2009 and 2008, the Companys results of
operations reflect compensation expense for new stock options
granted and vested under its stock incentive plan and employees
stock purchase plan during the fiscal year and the unvested
portion of previous stock option grants which vested during the
fiscal year.
|
|
(2)
|
Concentration
of Risk and Financial Instruments
|
Financial instruments that potentially subject the Company to
significant concentrations of credit risk consist principally of
cash equivalents, marketable securities and trade accounts
receivable.
The Companys customers consist of microelectronics
manufacturers located throughout the world. The Company performs
ongoing credit evaluations of its customers financial
conditions and generally requires no collateral from them. The
Company maintains an allowance for doubtful accounts receivable
based upon expected collectibility of all accounts receivable.
The Company invests in a variety of financial instruments such
as auction-rate securities and money market fund shares. The
Company, by policy, limits the amount of credit exposure with
any one financial or commercial issuer.
42
FSI
INTERNATIONAL, INC. AND SUBSIDIARIES
NOTES TO
CONSOLIDATED FINANCIAL
STATEMENTS Continued
The carrying amount of the Companys financial instruments,
which includes cash equivalents, short-term marketable
securities, accounts receivable, accounts payable and accrued
expenses, approximate fair value at August 28, 2010, due to
their short maturities.
As of August 28, 2010 and August 29, 2009, all
marketable securities were classified as
available-for-sale.
There were no short-term marketable securities as of
August 28, 2010 and August 29, 2009. The carrying
amount of long-term marketable securities was $3,612,000 as of
August 28, 2010 and $4,458,000 as of August 29, 2009.
The balance is net of an
other-than-temporary
impairment of $188,000 as of August 28, 2010 and $242,000
as of August 29, 2009. See Note 16 of the Notes to
Consolidated Financial Statements for further discussion related
to the impairment.
The Company manages its cash equivalents as a single portfolio
of highly marketable securities, all of which are intended to be
available to meet the Companys current cash requirements.
The Company has operating lease agreements for equipment and
manufacturing and office facilities. The future net minimum
lease payments for all leases with noncancellable lease terms in
excess of one year at August 28, 2010 are as follows (in
thousands):
|
|
|
|
|
|
|
Operating
|
|
|
|
Leases
|
|
|
Fiscal Year Ending August:
|
|
|
|
|
2011
|
|
$
|
530
|
|
2012
|
|
|
124
|
|
2013
|
|
|
48
|
|
2014
|
|
|
35
|
|
2015
|
|
|
9
|
|
Thereafter
|
|
|
1
|
|
|
|
|
|
|
Total minimum lease payments
|
|
$
|
747
|
|
|
|
|
|
|
Rental expense for all operating leases consisted of the
following (in thousands):
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Fiscal Year Ended
|
|
|
August 28,
|
|
August 29,
|
|
August 30,
|
|
|
2010
|
|
2009
|
|
2008
|
|
Rent expense for operating leases
|
|
$
|
786
|
|
|
$
|
1,213
|
|
|
$
|
1,578
|
|
Inventories are summarized as follows (in thousands):
|
|
|
|
|
|
|
|
|
|
|
August 28,
|
|
|
August 29,
|
|
|
|
2010
|
|
|
2009
|
|
|
Finished goods
|
|
$
|
4,238
|
|
|
$
|
3,013
|
|
Work in process
|
|
|
9,453
|
|
|
|
4,797
|
|
Raw materials and purchased parts
|
|
|
12,454
|
|
|
|
13,361
|
|
|
|
|
|
|
|
|
|
|
|
|
$
|
26,145
|
|
|
$
|
21,171
|
|
|
|
|
|
|
|
|
|
|
43
FSI
INTERNATIONAL, INC. AND SUBSIDIARIES
NOTES TO
CONSOLIDATED FINANCIAL
STATEMENTS Continued
(5) Property,
Plant and Equipment
The components of property, plant and equipment are as follows
(in thousands):
|
|
|
|
|
|
|
|
|
|
|
August 28,
|
|
|
August 29,
|
|
|
|
2010
|
|
|
2009
|
|
|
Land
|
|
$
|
224
|
|
|
$
|
224
|
|
Building and leasehold improvements
|
|
|
33,242
|
|
|
|
33,270
|
|
Office furniture and equipment
|
|
|
3,893
|
|
|
|
4,200
|
|
Computer hardware and software
|
|
|
14,666
|
|
|
|
14,782
|
|
Manufacturing equipment
|
|
|
1,717
|
|
|
|
1,772
|
|
Lab equipment
|
|
|
17,085
|
|
|
|
19,715
|
|
Tooling
|
|
|
233
|
|
|
|
248
|
|
Capital programs in progress
|
|
|
442
|
|
|
|
446
|
|
|
|
|
|
|
|
|
|
|
|
|
|
71,502
|
|
|
|
74,657
|
|
Less accumulated depreciation and amortization
|
|
|
(58,298
|
)
|
|
|
(59,510
|
)
|
|
|
|
|
|
|
|
|
|
|
|
$
|
13,204
|
|
|
$
|
15,147
|
|
|
|
|
|
|
|
|
|
|
Accrued expenses are summarized as follows (in thousands):
|
|
|
|
|
|
|
|
|
|
|
August 28,
|
|
|
August 29,
|
|
|
|
2010
|
|
|
2009
|
|
|
Salaries and benefits
|
|
$
|
1,028
|
|
|
$
|
1,416
|
|
Discretionary compensation and bonus
|
|
|
2,964
|
|
|
|
91
|
|
Vacation
|
|
|
1,055
|
|
|
|
1,157
|
|
Realignment
|
|
|
60
|
|
|
|
986
|
|
Product warranty
|
|
|
1,127
|
|
|
|
1,702
|
|
Other
|
|
|
1,786
|
|
|
|
1,620
|
|
|
|
|
|
|
|
|
|
|
|
|
$
|
8,020
|
|
|
$
|
6,972
|
|
|
|
|
|
|
|
|
|
|
Deferred profit as of the end of the fiscal year consists of (in
thousands):
|
|
|
|
|
|
|
|
|
|
|
August 28,
|
|
|
August 29,
|
|
|
|
2010
|
|
|
2009
|
|
|
Deferred revenue
|
|
$
|
2,865
|
|
|
$
|
2,739
|
|
Deferred cost of goods sold
|
|
|
(196
|
)
|
|
|
(377
|
)
|
|
|
|
|
|
|
|
|
|
Deferred profit
|
|
$
|
2,669
|
|
|
$
|
2,362
|
|
|
|
|
|
|
|
|
|
|
44
FSI
INTERNATIONAL, INC. AND SUBSIDIARIES
NOTES TO
CONSOLIDATED FINANCIAL
STATEMENTS Continued
Income (loss) before income taxes was derived from the following
sources (in thousands):
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Fiscal Year Ended
|
|
|
|
August 28,
|
|
|
August 29,
|
|
|
August 30,
|
|
|
|
2010
|
|
|
2009
|
|
|
2008
|
|
|
Domestic
|
|
$
|
12,399
|
|
|
$
|
(16,984
|
)
|
|
$
|
(14,526
|
)
|
Foreign
|
|
|
559
|
|
|
|
(724
|
)
|
|
|
263
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
$
|
12,958
|
|
|
$
|
(17,708
|
)
|
|
$
|
(14,263
|
)
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Income tax benefit is summarized as follows (in thousands):
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Fiscal Year Ended
|
|
|
|
August 28,
|
|
|
August 29,
|
|
|
August 30,
|
|
|
|
2010
|
|
|
2009
|
|
|
2008
|
|
|
Current:
|
|
|
|
|
|
|
|
|
|
|
|
|
Federal
|
|
$
|
(8
|
)
|
|
$
|
(83
|
)
|
|
$
|
|
|
Foreign
|
|
|
(65
|
)
|
|
|
(32
|
)
|
|
|
(598
|
)
|
State
|
|
|
10
|
|
|
|
31
|
|
|
|
(26
|
)
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
(63
|
)
|
|
|
(84
|
)
|
|
|
(624
|
)
|
Deferred:
|
|
|
|
|
|
|
|
|
|
|
|
|
Foreign
|
|
|
|
|
|
|
|
|
|
|
|
|
State
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
$
|
(63
|
)
|
|
$
|
(84
|
)
|
|
$
|
(624
|
)
|
|
|
|
|
|
|
|
|
|
|
|
|
|
The tax effects of temporary differences that give rise to
significant portions of the deferred tax assets and deferred tax
liabilities at August 28, 2010 and August 29, 2009 are
as follows (in thousands):
|
|
|
|
|
|
|
|
|
|
|
August 28,
|
|
|
August 29,
|
|
|
|
2010
|
|
|
2009
|
|
|
Deferred tax assets:
|
|
|
|
|
|
|
|
|
Inventory
|
|
$
|
4,601
|
|
|
$
|
4,180
|
|
Deferred profit
|
|
|
82
|
|
|
|
168
|
|
Accounts receivable
|
|
|
42
|
|
|
|
47
|
|
Property, plant and equipment, net
|
|
|
1,150
|
|
|
|
922
|
|
Credit carryforwards
|
|
|
6,616
|
|
|
|
6,725
|
|
Net operating loss carryforwards
|
|
|
64,250
|
|
|
|
70,650
|
|
Accruals
|
|
|
1,817
|
|
|
|
1,147
|
|
|
|
|
|
|
|
|
|
|
Total gross deferred tax assets
|
|
|
78,558
|
|
|
|
83,839
|
|
Deferred tax liabilities:
|
|
|
|
|
|
|
|
|
Other, net
|
|
|
445
|
|
|
|
421
|
|
Investment in foreign affiliate
|
|
|
118
|
|
|
|
118
|
|
|
|
|
|
|
|
|
|
|
Total gross deferred tax liabilities
|
|
|
563
|
|
|
|
539
|
|
Less valuation allowance
|
|
|
(77,995
|
)
|
|
|
(83,300
|
)
|
|
|
|
|
|
|
|
|
|
Net deferred tax assets
|
|
$
|
|
|
|
$
|
|
|
|
|
|
|
|
|
|
|
|
45
FSI
INTERNATIONAL, INC. AND SUBSIDIARIES
NOTES TO
CONSOLIDATED FINANCIAL
STATEMENTS Continued
The effective income tax expense (benefit) differs from the
expected statutory federal income tax as follows (in thousands):
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Fiscal Year Ended
|
|
|
|
August 28,
|
|
|
August 29,
|
|
|
August 30,
|
|
|
|
2010
|
|
|
2009
|
|
|
2008
|
|
|
Expected federal income tax expense (benefit)
|
|
$
|
4,535
|
|
|
$
|
(6,198
|
)
|
|
$
|
(4,992
|
)
|
State income tax benefit before valuation allowance
|
|
|
337
|
|
|
|
(496
|
)
|
|
|
(383
|
)
|
Research activities credit
|
|
|
(50
|
)
|
|
|
(225
|
)
|
|
|
(250
|
)
|
Nondeductible transfer pricing adjustments
|
|
|
|
|
|
|
|
|
|
|
809
|
|
Valuation allowance
|
|
|
(5,221
|
)
|
|
|
6,660
|
|
|
|
4,614
|
|
Stock compensation expense
|
|
|
520
|
|
|
|
169
|
|
|
|
198
|
|
Foreign withholding tax
|
|
|
|
|
|
|
|
|
|
|
48
|
|
Change in unrecognized tax benefits
|
|
|
(68
|
)
|
|
|
(32
|
)
|
|
|
(709
|
)
|
Other items, net
|
|
|
(116
|
)
|
|
|
38
|
|
|
|
41
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
$
|
(63
|
)
|
|
$
|
(84
|
)
|
|
$
|
(624
|
)
|
|
|
|
|
|
|
|
|
|
|
|
|
|
A reconciliation of the beginning and ending amount of total
gross unrecognized tax benefits is as follows (in thousands):
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Fiscal Year Ended
|
|
|
|
August 28,
|
|
|
August 29,
|
|
|
August 30,
|
|
|
|
2010
|
|
|
2009
|
|
|
2008
|
|
|
Beginning balance
|
|
$
|
512
|
|
|
$
|
583
|
|
|
$
|
1,290
|
|
Increase related to prior year tax position
|
|
|
|
|
|
|
|
|
|
|
18
|
|
Decrease related to prior year tax position
|
|
|
(174
|
)
|
|
|
(139
|
)
|
|
|
(63
|
)
|
Increase related to current year tax positions
|
|
|
72
|
|
|
|
68
|
|
|
|
|
|
Settlements
|
|
|
|
|
|
|
|
|
|
|
(662
|
)
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Ending balance
|
|
$
|
410
|
|
|
$
|
512
|
|
|
$
|
583
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
The Company recorded a tax benefit of $63,000 for fiscal 2010
related primarily to the reversal of uncertain tax positions as
a result of the lapse of the applicable statute of limitations.
The Company recorded a tax benefit of $84,000 for fiscal 2009
related primarily to research and development credit utilization
in lieu of bonus depreciation and the reversal of uncertain tax
positions as a result of a lapse of the applicable statute of
limitations. The Company recorded a tax benefit of $624,000 in
fiscal 2008 related to tax positions that were effectively
settled with tax authorities during fiscal 2008, partially
offset by state income tax expense.
The Company is utilizing approximately $15.6 million of net
operating loss for fiscal 2010 federal income tax purposes. The
Company had approximately $171.5 million of net operating
loss at August 28, 2010 to be carried forward, which will
begin to expire in fiscal 2011 through 2030, if not utilized. Of
this amount, approximately $15.0 million is subject to
Internal Revenue Code Section 382 limitations on
utilization. This limitation is approximately $1.4 million
per year. The Company has net operating loss carryforwards for
state purposes of approximately $68.3 million, which will
expire at various times, beginning with fiscal year 2011, if not
utilized.
The Company maintains a valuation allowance to fully reserve
against its net deferred tax assets due to uncertainty over the
ability to realize these assets. The change in the valuation
allowance during the fiscal year 2010 was $5.3 million.
Included in the August 28, 2010 valuation allowance balance
of $78.0 million is
46
FSI
INTERNATIONAL, INC. AND SUBSIDIARIES
NOTES TO
CONSOLIDATED FINANCIAL
STATEMENTS Continued
$3.8 million, which will be recorded as a credit to
stockholders equity, if it is determined in the future
that this portion of the valuation allowance is no longer
required.
As of August 28, 2010 and August 29, 2009, the Company
had $0.4 million and $0.5 million, respectively, of
liabilities recorded related to unrecognized tax benefits.
Included in the liability balance as of August 28, 2010 and
August 29, 2009 are approximately $0.3 million and
$0.4 million, respectively, of unrecognized tax benefits
that, if recognized, will affect the Companys effective
tax rate. Accrued interest and penalties on these unrecognized
tax benefits were $0.1 million as of both August 28,
2010 and August 29, 2009. The Company recognizes potential
interest and penalties related to income tax positions, if any,
as a component of provision for income taxes on the Consolidated
Statements of Operations. The Company does not anticipate that
the total amount of unrecognized tax benefits will significantly
change during the next twelve months.
The Company has an Employee 401(k) Retirement Plan, which allows
matching contributions for eligible employees equal to 100% of
the first 3% of employee contributions and 50% of the next 2% of
employee contributions. The Company contributed approximately
$479,000 in fiscal 2010, $537,000 in fiscal 2009 and $738,000 in
fiscal 2008.
In addition, the Company has statutory pension plans in Europe
and Asia and contributed approximately $146,000 in fiscal 2010,
$214,000 in fiscal 2009, and $284,000 in fiscal 2008.
Stock-based compensation expense for stock options granted or
vested under the Companys stock incentive plans and
employees stock purchase plan (ESPP) was reflected
in the Consolidated Statements of Operations for fiscal 2010,
2009 and 2008 as follows (in thousands):
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Fiscal Year Ended
|
|
|
|
August 28,
|
|
|
August 29,
|
|
|
August 30,
|
|
|
|
2010
|
|
|
2009
|
|
|
2008
|
|
|
Cost of goods sold
|
|
$
|
137
|
|
|
$
|
52
|
|
|
$
|
43
|
|
Selling, general and administrative
|
|
|
703
|
|
|
|
301
|
|
|
|
401
|
|
Research and development
|
|
|
604
|
|
|
|
129
|
|
|
|
121
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Amount charged against net income (loss)
|
|
$
|
1,444
|
|
|
$
|
482
|
|
|
$
|
565
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
The fair value of each option grant is estimated on the date of
grant using the Black-Scholes option-pricing method. The Company
uses historical data to estimate the expected price volatility,
the expected option life and the expected forfeiture rate. The
risk-free rate is based on the U.S. Treasury yield curve in
effect at the time of grant for the estimated life of an option.
The Company has not made any dividend payments nor does it have
plans to pay dividends in the foreseeable future. The following
assumptions were used to estimate the fair value of options
granted under the Companys plan and the ESPP during fiscal
2010, 2009 and 2008 using the Black-Scholes option-pricing model:
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Options
|
|
ESPP
|
Fiscal Year
|
|
2010
|
|
2009
|
|
2008
|
|
2010
|
|
2009
|
|
2008
|
|
Annualized dividend yield
|
|
|
0.0
|
%
|
|
|
0.0
|
%
|
|
|
0.0
|
%
|
|
|
0.0
|
%
|
|
|
0.0
|
%
|
|
|
0.0
|
%
|
Expected stock price volatility
|
|
|
80.3
|
%
|
|
|
74.0
|
%
|
|
|
69.3
|
%
|
|
|
80.3
|
%
|
|
|
75.5
|
%
|
|
|
69.3
|
%
|
Risk free interest rate
|
|
|
0.2
|
%
|
|
|
1.6
|
%
|
|
|
3.2
|
%
|
|
|
0.2
|
%
|
|
|
0.3
|
%
|
|
|
2.3
|
%
|
Expected life (in years)
|
|
|
5.5
|
|
|
|
5.4
|
|
|
|
5.5
|
|
|
|
0.5
|
|
|
|
0.5
|
|
|
|
0.5
|
|
47
FSI
INTERNATIONAL, INC. AND SUBSIDIARIES
NOTES TO
CONSOLIDATED FINANCIAL
STATEMENTS Continued
A summary of the option activity for the stock option plans for
fiscal 2010 is as follows (in thousands, except price per share
and contractual term):
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Weighted-Average
|
|
Weighted-Average
|
|
|
|
|
|
|
Exercise Price Per
|
|
Remaining
|
|
Aggregate Intrinsic
|
|
|
Number of Shares
|
|
Share
|
|
Contractual Term
|
|
Value
|
|
Outstanding at August 29, 2009
|
|
|
3,399
|
|
|
$
|
6.05
|
|
|
|
|
|
|
|
|
|
Options granted
|
|
|
566
|
|
|
|
3.00
|
|
|
|
|
|
|
|
|
|
Options forfeited
|
|
|
(10
|
)
|
|
|
1.81
|
|
|
|
|
|
|
|
|
|
Options expired
|
|
|
(604
|
)
|
|
|
10.29
|
|
|
|
|
|
|
|
|
|
Options exercised
|
|
|
(205
|
)
|
|
|
1.26
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Outstanding at August 28, 2010
|
|
|
3,146
|
|
|
$
|
5.02
|
|
|
|
5.0
|
|
|
$
|
571
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Exercisable at August 28, 2010
|
|
|
2,416
|
|
|
$
|
5.82
|
|
|
|
3.7
|
|
|
$
|
185
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
There was no intrinsic value for options outstanding or
exercisable at August 29, 2009 as the closing price of the
Companys stock at the end of fiscal 2009 was less than the
exercise price of the options outstanding or exercisable.
The weighted average grant date fair value based on the
Black-Scholes option-pricing model for options granted in fiscal
2010 was $1.96 per share, for options granted in fiscal 2009 was
$0.22 per share and for options granted in fiscal 2008 was $0.98
per share. There were no options exercised during fiscal 2009 or
fiscal 2008.
A summary of the status of unvested option shares as of
August 28, 2010 is as follows (in thousands, except fair
value amounts):
|
|
|
|
|
|
|
|
|
|
|
Number of
|
|
Weighted-Average Grant-Date
|
|
|
Shares
|
|
Fair Value
|
|
Unvested at August 29, 2009
|
|
|
471
|
|
|
$
|
0.61
|
|
Options granted
|
|
|
566
|
|
|
|
1.96
|
|
Options forfeited
|
|
|
(10
|
)
|
|
|
1.16
|
|
Options vested
|
|
|
(297
|
)
|
|
|
0.87
|
|
|
|
|
|
|
|
|
|
|
Unvested at August 28, 2010
|
|
|
730
|
|
|
$
|
1.55
|
|
|
|
|
|
|
|
|
|
|
As of August 28, 2010, there was $1,062,000 of total
unrecognized compensation cost related to unvested share-based
compensation granted under our plans. That cost is expected to
be recognized over a weighted-average period of 1.2 years.
The total fair value of option shares vested was $1,444,000
during fiscal 2010, $482,000 during fiscal 2009 and $565,000
during fiscal 2008.
48
FSI
INTERNATIONAL, INC. AND SUBSIDIARIES
NOTES TO
CONSOLIDATED FINANCIAL
STATEMENTS Continued
The activity under stock option plans of the Company is as
follows (in thousands, except per share amounts):
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Number of Shares
|
|
Weighted-Average
|
|
|
Available
|
|
|
|
Exercise Price
|
|
|
for Grant
|
|
Outstanding
|
|
Per Share
|
|
Activity Description
|
|
|
|
|
|
|
|
|
|
|
|
|
August 25, 2007
|
|
|
|
|
|
|
3,578
|
|
|
$
|
7.19
|
|
Adoption of the 2008 Omnibus Stock Plan
|
|
|
1,000
|
|
|
|
|
|
|
|
|
|
Granted
|
|
|
(370
|
)
|
|
|
370
|
|
|
|
1.59
|
|
Exercised
|
|
|
|
|
|
|
|
|
|
|
|
|
Canceled
|
|
|
|
|
|
|
(269
|
)
|
|
|
7.87
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
August 30, 2008
|
|
|
630
|
|
|
|
3,679
|
|
|
|
6.58
|
|
Additional shares authorized
|
|
|
500
|
|
|
|
|
|
|
|
|
|
Granted
|
|
|
(337
|
)
|
|
|
337
|
|
|
|
0.35
|
|
Exercised
|
|
|
|
|
|
|
|
|
|
|
|
|
Canceled
|
|
|
58
|
|
|
|
(617
|
)
|
|
|
6.05
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
August 29, 2009
|
|
|
851
|
|
|
|
3,399
|
|
|
|
6.05
|
|
Additional shares authorized
|
|
|
500
|
|
|
|
|
|
|
|
|
|
Granted
|
|
|
(566
|
)
|
|
|
566
|
|
|
|
3.00
|
|
Exercised
|
|
|
|
|
|
|
(205
|
)
|
|
|
1.26
|
|
Canceled
|
|
|
12
|
|
|
|
(614
|
)
|
|
|
10.14
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
August 28, 2010
|
|
|
797
|
|
|
|
3,146
|
|
|
$
|
5.01
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
The following table summarizes information with respect to
options outstanding and exercisable at August 28, 2010
(number of options outstanding and exercisable in thousands):
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Options Outstanding
|
|
|
|
|
|
|
|
|
|
|
Weighted-
|
|
|
|
Options Exercisable
|
|
|
|
|
|
|
Average
|
|
Weighted-
|
|
|
|
Weighted-
|
|
|
Range of
|
|
Number
|
|
Remaining
|
|
Average
|
|
|
|
Average
|
|
|
Exercise
|
|
of Options
|
|
Contractual
|
|
Exercise
|
|
|
|
Exercise
|
|
|
Prices
|
|
Outstanding
|
|
Life
|
|
Price
|
|
Exercisable
|
|
Price
|
|
|
|
$0.32 $2.50
|
|
|
767
|
|
|
|
8.5
|
|
|
$
|
1.37
|
|
|
|
285
|
|
|
$
|
1.33
|
|
|
|
$2.51 $5.00
|
|
|
1,081
|
|
|
|
5.1
|
|
|
|
3.83
|
|
|
|
833
|
|
|
|
3.70
|
|
|
|
$5.01 $7.50
|
|
|
231
|
|
|
|
5.8
|
|
|
|
5.26
|
|
|
|
231
|
|
|
|
5.26
|
|
|
|
$7.51 $9.00
|
|
|
727
|
|
|
|
2.2
|
|
|
|
7.93
|
|
|
|
727
|
|
|
|
7.93
|
|
|
|
$9.01 $11.00
|
|
|
340
|
|
|
|
1.5
|
|
|
|
10.63
|
|
|
|
340
|
|
|
|
10.63
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
$0.32 $11.00
|
|
|
3,146
|
|
|
|
4.9
|
|
|
$
|
5.01
|
|
|
|
2,416
|
|
|
$
|
5.82
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
There were 2,932,000 currently exercisable options at a
weighted-average exercise price of $6.86 at August 29,
2009, and 3,251,000 currently exercisable options at a
weighted-average exercise price of $7.12 at August 30, 2008.
|
|
(11)
|
Employees
Stock Purchase Plan
|
The Companys ESPP enables employees to contribute up to
10% of their wages toward the purchase of the Companys
common stock at 85% of the lower of market value at the
beginning or the end of the
49
FSI
INTERNATIONAL, INC. AND SUBSIDIARIES
NOTES TO
CONSOLIDATED FINANCIAL
STATEMENTS Continued
semiannual purchase period. Stockholders authorized the issuance
of 1,000,000 additional shares of common stock to the ESPP in
fiscal 2010.
Shares were issued on the following dates for the following
prices (in thousands except per share amounts):
|
|
|
|
|
|
|
|
|
|
|
|
|
Price per
|
Date
|
|
Shares
|
|
Share
|
|
December 31, 2007
|
|
|
111
|
|
|
|
1.53
|
|
June 30, 2008
|
|
|
183
|
|
|
|
1.14
|
|
December 31, 2008
|
|
|
314
|
|
|
|
0.26
|
|
June 30, 2009
|
|
|
483
|
|
|
|
0.26
|
|
December 31, 2009
|
|
|
420
|
|
|
|
0.43
|
|
June 30, 2010
|
|
|
73
|
|
|
|
2.63
|
|
As of August 28, 2010, there were 1,034,000 shares
reserved for future employee purchases of stock under the ESPP.
|
|
(12)
|
Segment
and Other Information
|
Segment
Information
The Company sells Surface Conditioning (SC) and
POLARIS®
Microlithography (PSS) Systems.
The Companys chief operating decision-maker has been
identified as the President and Chief Executive Officer. Due to
the level of integration of SC and PSS, the Companys chief
operating decision-maker reviews consolidated operating results
to make decisions about allocating resources and assessing
performance for the entire Company. SC and PSS are a part of one
segment for the manufacture, marketing and servicing of
equipment for the microelectronics industry.
Geographic
Information
International sales were approximately 64% of total sales in
fiscal year 2010, 71% of total sales in fiscal year 2009 and
approximately 76% of total sales in fiscal year 2008. The basis
for determining sales by geographic region is the location that
the product is shipped to. Sales by geographic area are
summarized as follows (in thousands):
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Fiscal Year Ended
|
|
|
|
August 28,
|
|
|
August 29,
|
|
|
August 30,
|
|
|
|
2010
|
|
|
2009
|
|
|
2008
|
|
|
Asia
|
|
$
|
45,136
|
|
|
$
|
27,869
|
|
|
$
|
33,276
|
|
Europe
|
|
|
12,848
|
|
|
|
7,926
|
|
|
|
25,967
|
|
Other
|
|
|
104
|
|
|
|
20
|
|
|
|
24
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Total International
|
|
|
58,088
|
|
|
|
35,815
|
|
|
|
59,267
|
|
Domestic
|
|
|
32,897
|
|
|
|
14,669
|
|
|
|
18,989
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
$
|
90,985
|
|
|
$
|
50,484
|
|
|
$
|
78,256
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
South Korea accounted for 28% of total sales in fiscal 2010, 43%
of total sales in fiscal 2009 and 25% of total sales in fiscal
2008.
50
FSI
INTERNATIONAL, INC. AND SUBSIDIARIES
NOTES TO
CONSOLIDATED FINANCIAL
STATEMENTS Continued
Long-lived
Assets
The Company does not have significant long-lived assets in
foreign countries.
Customer
Information
The following summarizes significant customers comprising 10% or
more of the Companys trade accounts receivable as of
August 28, 2010 and August 29, 2009 and 10% or more of
sales for fiscal 2010, 2009 and 2008, which includes sales
through affiliates to end-users:
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
% of Trade Accounts
|
|
|
|
|
Receivable as of
|
|
% of Sales for the Fiscal Year Ended
|
|
|
August 28,
|
|
August 29,
|
|
August 28,
|
|
August 29,
|
|
August 30,
|
|
|
2010
|
|
2009
|
|
2010
|
|
2009
|
|
2008
|
|
Customer A
|
|
|
|
*
|
|
|
27
|
%
|
|
|
32
|
%
|
|
|
34
|
%
|
|
|
19
|
%
|
Customer B
|
|
|
17
|
%
|
|
|
|
*
|
|
|
|
*
|
|
|
|
*
|
|
|
12
|
%
|
Customer C
|
|
|
|
*
|
|
|
22
|
%
|
|
|
|
*
|
|
|
|
*
|
|
|
|
*
|
Customer D
|
|
|
14
|
%
|
|
|
10
|
%
|
|
|
11
|
%
|
|
|
|
*
|
|
|
|
*
|
Customer E
|
|
|
19
|
%
|
|
|
|
*
|
|
|
|
*
|
|
|
|
*
|
|
|
|
*
|
Customer F
|
|
|
11
|
%
|
|
|
|
*
|
|
|
|
*
|
|
|
|
*
|
|
|
|
*
|
|
|
|
* |
|
Trade accounts receivable from or sales to respective customer
were less than 10% as of the end of or during the fiscal year. |
The Company, in the ordinary course of business, enters into
various licensing agreements. These agreements generally provide
for technology transfers between the Company and the licensors
in exchange for minimum royalty payments
and/or a
fixed royalty to the licensors. The total accrued royalty
license fees included in accrued expenses were $608,000 at
August 28, 2010 and $226,000 at August 29, 2009. These
agreements can generally be terminated by the Company with
appropriate notice to the licensors.
|
|
(14)
|
Supplementary
Cash Flow Information
|
The following summarizes supplementary cash flow items (in
thousands):
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Fiscal Year Ended
|
|
|
August 30,
|
|
August 29,
|
|
August 30,
|
|
|
2010
|
|
2009
|
|
2008
|
|
Income taxes (received) paid, net
|
|
$
|
19
|
|
|
$
|
(76
|
)
|
|
$
|
(17
|
)
|
Interest paid, net
|
|
|
|
|
|
|
41
|
|
|
|
144
|
|
Assets acquired by a capital lease
|
|
$
|
|
|
|
$
|
|
|
|
$
|
442
|
|
|
|
(15)
|
Cost
Reductions and Realignment
|
In fiscal 2008, the Company committed to a plan to reduce its
headcount by approximately 60 positions, or about 14% of the
Companys global workforce from the end of the third
quarter of fiscal 2008. The plan was implemented in September
2008. In conjunction with the staff reductions, the
Companys European and United States sales and service
organizations were consolidated to better support the customer
base in these regions. Also, the Company refocused its remaining
Allen, TX and Chaska, MN based engineering resources toward
products which the Company believed would provide the most
significant opportunity for near-term revenue and future market
share gains. A total of 63 positions were eliminated in
September 2008 of which 19 were manufacturing positions, 19 were
sales, service and marketing positions, five were administration
51
FSI
INTERNATIONAL, INC. AND SUBSIDIARIES
NOTES TO
CONSOLIDATED FINANCIAL
STATEMENTS Continued
positions and 20 were engineering positions. Severance and
outplacement costs recorded in fiscal 2008 were allocated as
follows: $1,314,000 to selling, general and administrative
expense, $536,000 to research and development expense and
$142,000 to cost of goods sold.
The fiscal 2008 severance and outplacement costs are summarized
as follows (in thousands):
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Amount Charged
|
|
|
Amount Paid through
|
|
|
Accrual at
|
|
|
|
Fiscal 2008
|
|
|
August 29, 2009
|
|
|
August 29, 2009
|
|
|
Selling, general and administrative expenses
|
|
$
|
1,314
|
|
|
$
|
1,314
|
|
|
$
|
|
|
Research and development expenses
|
|
|
536
|
|
|
|
536
|
|
|
|
|
|
Cost of goods sold
|
|
|
142
|
|
|
|
142
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Total severance and outplacement costs
|
|
$
|
1,992
|
|
|
$
|
1,992
|
|
|
$
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
The accruals were paid in fiscal 2009.
In the second quarter of fiscal 2009, the Company committed to a
plan of additional cost reduction actions, including the
reduction of headcount, salary reductions and scheduled plant
shutdowns. The cost reduction actions were due to the Company
continuing to be impacted by the global economic slowdown and in
particular the reduced demand for the Companys products. A
total of 111 positions were eliminated of which 37 were
manufacturing positions, 37 were sales, service and marketing
positions, 8 were administrative positions and 29 were
engineering positions. Severance and outplacement costs, net of
change in estimate, recorded in fiscal 2009 were allocated as
follows: $1,133,000 to selling, general and administrative
expense, $875,000 to research and development expense and
$604,000 to cost of goods sold.
The fiscal 2009 severance and outplacement costs are summarized
as follows (in thousands):
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Amount Charged
|
|
|
Amount Paid through
|
|
|
Accrual at
|
|
|
|
Fiscal 2009
|
|
|
August 28, 2010
|
|
|
August 28, 2010
|
|
|
Selling, general and administrative expenses
|
|
$
|
1,133
|
|
|
$
|
1,094
|
|
|
$
|
39
|
|
Research and development expenses
|
|
|
875
|
|
|
|
870
|
|
|
|
5
|
|
Cost of goods sold
|
|
|
604
|
|
|
|
588
|
|
|
|
16
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Total
|
|
$
|
2,612
|
|
|
$
|
2,552
|
|
|
$
|
60
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
(16)
|
Marketable
Securities and Fair Value Measurements
|
As of August 28, 2010, the Company had investments in ARS
reported at a fair value of $3.6 million after reflecting a
$0.2 million other than temporary impairment against
$3.8 million par value. The other than temporary impairment
was recorded in fiscal 2008. The Company valued the majority of
ARS using a
mark-to-model
approach that relies on discounted cash flows, market data and
inputs derived from similar instruments. This model takes into
account, among other variables, the base interest rate, credit
spreads, downgrade risks and default/recovery risk, the
estimated time required to work out the disruption in the
traditional auction process and its effect on liquidity, and the
effects of insurance and other credit enhancements. However, the
Company valued certain ARS based on the price at which the
issuer offered to repurchase such ARS in a conditional tender
offer received by the Company in October 2008 from the issuer.
The ARS held by the Company are marketable securities with
long-term stated maturities for which the interest rates are
reset every 28 days through an auction process and at the
end of each reset period, investors can sell or continue to hold
the securities at par. The auctions have historically provided a
liquid market for these securities as investors historically
could readily sell their investments at auction. Due to the
liquidity issues experienced in global credit and capital
markets, the ARS held by the Company have experienced
52
FSI
INTERNATIONAL, INC. AND SUBSIDIARIES
NOTES TO
CONSOLIDATED FINANCIAL
STATEMENTS Continued
multiple failed auctions, beginning on February 19, 2008,
as the amount of securities submitted for sale has exceeded the
amount of purchase orders. During the second quarter of fiscal
2008, the Company reclassified $8.5 million of ARS from
current marketable securities to long-term marketable securities
on the Consolidated Balance Sheet due to difficulties
encountered at auction and the conditions in the general debt
markets creating uncertainty as to when successful auctions may
be reestablished. During fiscal 2008 $0.8 million of ARS
were partially redeemed. An additional $3.0 million were
redeemed in fiscal 2009 and $0.9 million were redeemed in
fiscal 2010.
The $3.8 million par value ARS held by the Company are
backed by student loans and are collateralized, insured and
guaranteed by the United States Federal Department of Education
and are classified as long-term. All of the ARS held by the
Company continue to carry investment grade ratings and have not
experienced any payment defaults. ARS that did not successfully
auction, reset to the maximum interest rate as prescribed in the
underlying indenture and all of the Companys holdings
continue to be current with their interest payments. If
uncertainties in the credit and capital markets continue, these
markets deteriorate further or any ARS the Company holds are
downgraded by the rating agencies, the Company may be required
to recognize additional impairment charges.
The Company categorizes its assets and liabilities into one of
three levels based on the assumptions (inputs) used in valuing
the asset or liability. Level 1 provides the most reliable
measure of fair value, while Level 3 generally requires
significant management judgment. The three levels are defined as
follows:
Level 1 Quoted prices in active markets for
identical assets or liabilities.
Level 2 Observable inputs other than
Level 1 prices, such as quoted prices for similar assets or
liabilities; quoted prices in markets that are not active; or
other inputs that are observable or can be corroborated by
observable market data for substantially the full term of the
assets or liabilities.
Level 3 Unobservable inputs that are supported
by little or no market activity and that are significant to the
fair value of the assets or liabilities.
The Company valued its cash and cash equivalents and restricted
cash based on level 1 inputs.
The Company valued its ARS based on level 3 inputs in which
values are based on prices or valuation techniques that require
inputs that are both unobservable and significant to the overall
fair value measurement. These level 3 inputs reflect
managements own assumptions about the assumptions a market
participant would use in pricing the ARS.
The fair value measurements as of August 28, 2010 of cash
and cash equivalents, restricted cash and marketable securities
are summarized below (in thousands):
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Fair Value
|
|
Level 1
|
|
Level 2
|
|
Level 3
|
|
Cash and cash equivalents
|
|
$
|
34,365
|
|
|
$
|
34,365
|
|
|
|
|
|
|
|
|
|
Restricted cash
|
|
|
322
|
|
|
|
322
|
|
|
|
|
|
|
|
|
|
Marketable securities
|
|
|
3,612
|
|
|
|
|
|
|
|
|
|
|
$
|
3,612
|
|
In late calendar 2006, the Company determined that certain of
its replacement valves, pumps and heaters could fall within the
scope of United States export licensing regulations to products
that could be used in connection with chemical weapons
processes. The Company determined that these regulations require
it to obtain licenses to ship some of its replacement spare
parts, spare parts kits and assemblies to customers in certain
controlled countries as defined in the export licensing
regulations. During the second quarter of fiscal 2007, the
Company was granted licenses to ship replacement spare parts,
spare parts kits and assemblies to all customers in the
controlled countries where the Company conducts business.
53
FSI
INTERNATIONAL, INC. AND SUBSIDIARIES
NOTES TO
CONSOLIDATED FINANCIAL
STATEMENTS Continued
The applicable export licensing regulations frequently change.
Moreover, the types and categories of products that are subject
to export licensing are often described in the regulations in
general terms and could be subject to differing interpretations.
In the second quarter of fiscal 2007, the Company made a
voluntary disclosure to the United States Department of Commerce
to clarify its licensing practices and to review its practices
with respect to prior sales of certain replacement valves, pumps
and heaters to customers in several controlled countries as
defined in the licensing regulations.
In October 2009, the Company entered into a settlement agreement
with the Office of Export Enforcement for $450,000. The Company
paid $5,000 per month for ten months beginning in November 2009.
The remaining $400,000 owed under the settlement was suspended
for 12 months. The
12-month
suspension period expired October 29, 2010, and we expect
to be released from further payments, including the suspended
$400,000.
|
|
(18)
|
Share
Repurchase Plan
|
In October 2008, the Company authorized the repurchase of up to
$3 million of the Companys common stock to be
effected from time to time in transactions in the public markets
or in private purchases. The timing and extent of any
repurchases will depend upon market conditions, the trading
price of the Companys shares and other factors, subject to
the restrictions relating to volume, price and timing of share
repurchases under applicable law. The repurchase program may be
modified, suspended or terminated at any time by the Company
without notice. The Company did not repurchase any of its common
stock during fiscal 2009 or fiscal 2010
The Company filed a shelf registration statement with the SEC on
March 30, 2010 to register an indeterminate number of
shares of common stock, preferred stock, warrants and units, the
aggregate initial offering price of which is not to exceed
$50 million. On June 14, 2010, the Company closed on a
public offering of 6.2 million shares of its common stock
at a public offering price of $3.05 per share. Net proceeds from
the sale of the shares, after underwriter discounts and
commissions and other offering expenses, were approximately
$17.6 million. The Company intends to use the net proceeds
from the offering for general corporate and working capital
purposes. Following the June 2010 stock offering, the Company
has registered under its shelf registration statement an
indeterminate number of shares of common stock, preferred stock,
warrants and units with an aggregate initial offering price not
to exceed $31 million.
54
Report of
Independent Registered Public Accounting Firm
The Board of Directors and Stockholders
FSI International, Inc.
We have audited the accompanying consolidated balance sheets of
FSI International, Inc. and subsidiaries (the
Company) as of August 28, 2010 and
August 29, 2009, and the related consolidated statements of
operations, stockholders equity and comprehensive income
(loss), and cash flows for each of the years in the three-year
period ended August 28, 2010. We also have audited the
Companys internal control over financial reporting as of
August 28, 2010, based on criteria established in
Internal Control Integrated Framework issued
by the Committee of Sponsoring Organizations of the Treadway
Commission. The Companys management is responsible for
these consolidated financial statements, for maintaining
effective internal control over financial reporting, and for its
assessment of the effectiveness of internal control over
financial reporting, included in the accompanying
Managements Report on Internal Control over Financial
Reporting. Our responsibility is to express an opinion on these
consolidated financial statements and an opinion on the
Companys internal control over financial reporting based
on our audits.
We conducted our audits in accordance with the standards of the
Public Company Accounting Oversight Board (United States). Those
standards require that we plan and perform the audit to obtain
reasonable assurance about whether the financial statements are
free of material misstatement and whether effective internal
control over financial reporting was maintained in all material
respects. An audit includes examining, on a test basis, evidence
supporting the amounts and disclosures in the financial
statements. An audit also includes assessing the accounting
principles used and significant estimates made by management, as
well as evaluating the overall financial statement presentation.
Our audit of internal control over financial reporting included
obtaining an understanding of internal control over financial
reporting, assessing the risk that a material weakness exists,
and testing and evaluating the design and operating
effectiveness of internal control based on the assessed risk.
Our audits also included performing such other procedures as we
considered necessary in the circumstances. We believe that our
audits provide a reasonable basis for our opinions.
A companys internal control over financial reporting is a
process designed to provide reasonable assurance regarding the
reliability of financial reporting and the preparation of
financial statements for external purposes in accordance with
generally accepted accounting principles. A companys
internal control over financial reporting includes those
policies and procedures that (1) pertain to the maintenance
of records that, in reasonable detail, accurately and fairly
reflect the transactions and dispositions of the assets of the
company; (2) provide reasonable assurance that transactions
are recorded as necessary to permit preparation of financial
statements in accordance with generally accepted accounting
principles, and that receipts and expenditures of the company
are being made only in accordance with authorizations of
management and directors of the company; and (3) provide
reasonable assurance regarding prevention or timely detection of
unauthorized acquisition, use, or disposition of the
companys assets that could have a material effect on the
financial statements.
Because of its inherent limitations, internal control over
financial reporting may not prevent or detect misstatements.
Also, projections of any evaluation of effectiveness to future
periods are subject to the risk that controls may become
inadequate because of changes in conditions, or that the degree
of compliance with the policies or procedures may deteriorate.
In our opinion, the consolidated financial statements referred
to above present fairly, in all material respects, the financial
position of FSI International, Inc. and subsidiaries as of
August 28, 2010 and August 29, 2009, and the results
of their operations and their cash flows for each of the years
in the three-year period ended August 28, 2010, in
conformity with U.S. generally accepted accounting
principles. Also, in our opinion, the Company maintained, in all
material respects, effective internal control over financial
reporting as of August 28, 2010, based on criteria
established in Internal Control Integrated
Framework issued by the Committee of Sponsoring
Organizations of the Treadway Commission.
Minneapolis, Minnesota
November 3, 2010
55
Data for the fiscal quarters of our last two fiscal years is as
follows (in thousands, except per share data):
Quarterly
Data
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Second
|
|
Third
|
|
Fourth
|
|
|
First
|
|
Quarter
|
|
Quarter
|
|
Quarter
|
|
|
Quarter
|
|
(a), (b)
|
|
(b), (c)
|
|
(a), (b), (c)
|
|
|
(Unaudited)
|
|
2010
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Sales
|
|
$
|
14,617
|
|
|
$
|
18,925
|
|
|
$
|
28,653
|
|
|
$
|
28,790
|
|
Gross margin
|
|
|
6,567
|
|
|
|
8,043
|
|
|
|
13,723
|
|
|
|
14,585
|
|
Operating income
|
|
|
16
|
|
|
|
513
|
|
|
|
5,609
|
|
|
|
6,393
|
|
Net (loss) income
|
|
|
(55
|
)
|
|
|
610
|
|
|
|
5,864
|
|
|
|
6,602
|
|
Diluted net (loss) income per common share
|
|
$
|
(0.00
|
)
|
|
$
|
0.02
|
|
|
$
|
0.18
|
|
|
$
|
0.17
|
|
2009
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Sales
|
|
$
|
12,244
|
|
|
$
|
8,640
|
|
|
$
|
15,424
|
|
|
$
|
14,176
|
|
Gross margin
|
|
|
4,627
|
|
|
|
1,207
|
|
|
|
4,313
|
|
|
|
6,280
|
|
Operating loss
|
|
|
(5,423
|
)
|
|
|
(9,495
|
)
|
|
|
(2,669
|
)
|
|
|
(164
|
)
|
Net loss
|
|
|
(5,317
|
)
|
|
|
(9,427
|
)
|
|
|
(2,808
|
)
|
|
|
(72
|
)
|
Diluted net loss per common share
|
|
$
|
(0.17
|
)
|
|
$
|
(0.30
|
)
|
|
$
|
(0.09
|
)
|
|
$
|
0.00
|
|
|
|
|
(a) |
|
During fiscal 2009, the Company recorded severance and
outplacement costs as follows: |
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
First
|
|
|
Second
|
|
|
Third
|
|
|
Fourth
|
|
|
|
|
|
|
Quarter
|
|
|
Quarter
|
|
|
Quarter
|
|
|
Quarter
|
|
|
Fiscal 2009
|
|
|
Cost of sales
|
|
$
|
|
|
|
$
|
698
|
|
|
$
|
|
|
|
$
|
(94
|
)
|
|
$
|
604
|
|
Selling, general and administrative expenses
|
|
|
|
|
|
|
1,168
|
|
|
|
|
|
|
|
(35
|
)
|
|
|
1,133
|
|
Research and development expenses
|
|
|
|
|
|
|
967
|
|
|
|
|
|
|
|
(92
|
)
|
|
|
875
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Total
|
|
$
|
|
|
|
$
|
2,833
|
|
|
$
|
|
|
|
$
|
(221
|
)
|
|
$
|
2,612
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
(b) |
|
During the second and fourth quarters of fiscal 2010, the
Company recorded a gain of $6,000 and $48,000, respectively,
associated with ARS redemptions. During the second and third
quarters of fiscal 2009, the Company recorded a gain of $74,000
and $36,000, respectively, associated with ARS redemptions. |
|
(c) |
|
During fiscal 2010, the Company recorded discretionary incentive
compensation as follows: |
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Third
|
|
|
Fourth
|
|
|
|
|
|
|
Quarter
|
|
|
Quarter
|
|
|
Fiscal 2010
|
|
|
Cost of sales
|
|
$
|
180
|
|
|
$
|
195
|
|
|
$
|
375
|
|
Selling, general and administrative expenses
|
|
|
600
|
|
|
|
650
|
|
|
|
1,250
|
|
Research and development expenses
|
|
|
420
|
|
|
|
455
|
|
|
|
875
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Total
|
|
$
|
1,200
|
|
|
$
|
1,300
|
|
|
$
|
2,500
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
The Companys fiscal quarters are generally 13 weeks,
all ending on a Saturday. The fiscal year ends on the last
Saturday in August and consists of 52 or 53 weeks.
56
|
|
ITEM 9.
|
CHANGES
IN AND DISAGREEMENTS WITH ACCOUNTANTS ON ACCOUNTING AND
FINANCIAL DISCLOSURE
|
None.
|
|
ITEM 9A.
|
CONTROLS
AND PROCEDURES
|
Conclusion
Regarding the Effectiveness of Disclosure Controls and
Procedures
Our management, with the participation of our chief executive
officer and our principal financial and accounting officer, have
evaluated the effectiveness of our disclosure controls and
procedures for the period ended August 28, 2010 (as defined
in
Rule 13a-15(e)
and
15d-15(e)
under the Securities Exchange Act of 1934 (the Exchange
Act)). Based on that evaluation, our Chief executive
officer and our principal financial and accounting officer have
concluded that our disclosure controls and procedures are
effective to ensure that information required to be disclosed by
us in reports that we file or submit under the Exchange Act is
recorded, processed, summarized and reported within the time
periods specified in Securities and Exchange Commission rules
and forms, and that such information is accumulated and
communicated to our management, including our principal
executive and our principal financial officers, as appropriate
to allow timely decisions regarding required disclosure.
Changes
in Internal Controls Over Financial Reporting
There were no changes in our internal control over financial
reporting during our most recently completed fiscal quarter that
have materially affected, or were reasonably likely to
materially affect, our internal control over financial reporting.
Managements
Report on Internal Control over Financial Reporting
Our management is responsible for establishing and maintaining
adequate internal control over financial reporting, as such term
is defined in Exchange Act
Rule 13a-15(f).
Under the supervision and with the participation of our
management, including our principal executive officer and
principal financial and accounting officer, we conducted an
assessment of the effectiveness of our internal control over
financial reporting based on the framework in Internal
Control Integrated Framework issued by the Committee
of Sponsoring Organizations of the Treadway Commission (COSO).
Based on our assessment under the framework in Internal
Control Integrated Framework, our management
concluded that our internal control over financial reporting was
effective as of August 28, 2010.
KPMG LLP, an independent registered public accounting firm, has
audited the consolidated financial statements included in this
Annual Report on
Form 10-K
and, as part of this audit, has issued their report, included in
Item 8, on the effectiveness of our internal control over
financial reporting.
Donald S. Mitchell
Chairman and Chief Executive Officer
(Principal Executive Officer)
/s/ Patricia
M. Hollister
Patricia M. Hollister
Chief Financial Officer
(Principal Financial and Accounting Officer)
57
Attestation
Report of Independent Registered Public Accounting
Firm
The attestation report required under this item is contained in
Item 8 of this Annual Report on
Form 10-K.
|
|
ITEM 9B.
|
OTHER
INFORMATION
|
None.
PART III
Certain information required by Part III is incorporated by
reference to our definitive proxy statement for the annual
meeting of shareholders to be held on January 19, 2011 and
which will be filed with the Securities and Exchange Commission
pursuant to Regulation 14A within 120 days after
August 28, 2010.
Except for those portions specifically incorporated in this
report by reference to our proxy statement for the annual
meeting of shareholders to be held on January 19, 2011, no
other portions of the proxy statement are deemed to be filed as
part of this Report on
Form 10-K.
|
|
ITEM 10.
|
DIRECTORS,
EXECUTIVE OFFICERS AND CORPORATE GOVERNANCE OF THE
REGISTRANT
|
The information concerning our directors and our board
committees required by this item is incorporated by reference to
the information under the captions Election of
Directors and Compliance with Section 16(a) of
the Securities and Exchange Act of 1934 in our proxy
statement for the annual meeting of shareholders to be held on
January 19, 2011. For information concerning executive
officers, see Item 4A of this
Form 10-K
Report.
Code of
Business Conduct and Ethics
We have adopted a code of business conduct and ethics applicable
to all of our directors and employees, including our principal
executive officer, principal financial and accounting officer,
controller and other employees performing similar functions. A
copy of this code of business conduct and ethics is available on
our website at www.fsi-intl.com.
We intend to disclose any waiver of our code of business conduct
and ethics for our directors or executive officers in future
Form 8-K
filings within four business days following the date of such
waiver. We also intend to post on our website at
www.fsi-intl.com any amendment to, or waiver from, a provision
of our code of business conduct and ethics that applies to our
principal executive officer, principal financial officer,
controller and other employees performing similar functions
within four business days following the date of such amendment
or waiver.
|
|
ITEM 11.
|
EXECUTIVE
COMPENSATION
|
The information required by this item is incorporated by
reference to the information under the captions Election
of Directors and Compensation of Executive
Officers in our proxy statement for the annual meeting of
shareholders to be held on January 19, 2011.
|
|
ITEM 12.
|
SECURITY
OWNERSHIP OF CERTAIN BENEFICIAL OWNERS AND MANAGEMENT AND
RELATED STOCKHOLDER MATTERS
|
The information required by this item is incorporated by
reference to the information under the captions Security
Ownership of Management and Certain Beneficial Owners and
Equity Compensation Plan Information in our proxy
statement for the annual meeting of shareholders to be held on
January 19, 2011.
58
|
|
ITEM 13.
|
CERTAIN
RELATIONSHIPS AND RELATED TRANSACTIONS, AND DIRECTOR
INDEPENDENCE
|
The information required by this item is incorporated by
reference to the information under the captions Interests
of Management and Others in Certain Transactions and
Information Concerning the Board of Directors in our
proxy statement for the annual meeting of shareholders to be
held on January 19, 2011.
|
|
ITEM 14.
|
PRINCIPAL
ACCOUNTANT FEES AND SERVICES
|
The information required by this item is incorporated by
reference to the information under the captions
Independent Registered Public Accountant Fees and
Auditor Independence in our proxy statement for the
annual meeting of shareholders to be held on January 19,
2011.
PART IV
|
|
ITEM 15.
|
EXHIBITS AND
FINANCIAL STATEMENT SCHEDULES
|
All schedules are omitted because they are not applicable or the
required information is shown in the consolidated financial
statements or notes thereto.
(a)(3) Exhibits
|
|
|
|
|
|
3
|
.1
|
|
Restated Articles of Incorporation of the Company.(1)
|
|
3
|
.2
|
|
Restated By-Laws.(1)
|
|
3
|
.3
|
|
Articles of Amendment of Restated Articles of Incorporation.(1)
|
|
10
|
.1
|
|
FSI International, Inc. 1997 Omnibus Stock Plan (as amended and
restated April 2001).(2)
|
|
10
|
.2
|
|
Form of Incentive Stock Option Agreement for the FSI
International, Inc. 1997 Omnibus Stock Plan, as amended.(3)
|
|
10
|
.3
|
|
Form of Incentive Stock Option Agreement for Outside Directors
for the FSI International, Inc. 1997 Omnibus Stock Plan, as
amended.(3)
|
|
10
|
.4
|
|
FSI International, Inc. 2008 Omnibus Stock Plan (as amended and
restated January 2010).(5)
|
|
10
|
.5
|
|
FSI International, Inc. Employees Stock Purchase Plan (as
amended and restated January 2010).(5)
|
|
10
|
.6
|
|
Management Agreement entered into as of March 28, 2008, by and
between FSI International, Inc. and Donald S. Mitchell.
(Identical Management Agreements were entered into on March 28,
2008 between the Company and each of Benno G. Sand, Patricia M.
Hollister and John C. Ely. These Management Agreements have
been omitted but will be filed if requested in writing by the
Commission)(6)#
|
59
|
|
|
|
|
|
10
|
.7
|
|
Severance Agreement entered into as of March 28, 2008, by and
between FSI International, Inc. and Benno G. Sand.(6)#
|
|
10
|
.8
|
|
Employment Agreement entered into as of March 28, 2008, by and
between FSI International, Inc. and Donald S. Mitchell.(6)#
|
|
10
|
.9
|
|
Amended and Restated Summary of Terms of Employment entered into
as of March 28, 2008 between FSI International and Donald S.
Mitchell.(6)#
|
|
10
|
.10
|
|
Severance Agreement entered into as of March 28, 2008, by and
between FSI International, Inc. and Patricia M. Hollister. (An
identical Severance Agreement was entered into on March 28, 2008
between the Company and John C. Ely. This Severance Agreement
has been omitted but will be filed if requested in writing by
the Commission.)(6)#
|
|
10
|
.11
|
|
Stock Purchase Agreement dated as of May 15, 2007 by an among
FSI International, Inc., MBK Project Holdings Ltd., Chlorine
Engineers Corp. Ltd., Yasuda Enterprise Development III
Limited Partnership, Mizuho Capital Co., Ltd., Mr. Hideki Kawai,
Mr. Takanori Yoshioka and Mr. Satoshi Shikami. (exhibits
omitted)(4)
|
|
10
|
.12
|
|
Incentive Compensation Plan.(7)#
|
|
21
|
.0
|
|
Subsidiaries of the Company. (filed herewith)
|
|
23
|
.0
|
|
Consent of KPMG LLP, independent registered public accounting
firm. (filed herewith)
|
|
24
|
.0
|
|
Powers of Attorney from the Directors of FSI International, Inc.
(filed herewith)
|
|
31
|
.1
|
|
Certification by Principal Executive Officer pursuant to Section
302 of the Sarbanes-Oxley Act of 2002. (filed herewith)
|
|
31
|
.2
|
|
Certification by Principal Financial and Accounting Officer
pursuant to Section 302 of the Sarbanes-Oxley Act of 2002.
(filed herewith)
|
|
32
|
.1
|
|
Certification of Chief Executive Officer and Chief Financial
Officer Pursuant to 18 U.S.C. Section 1350, as Adopted
Pursuant to Section 906 of the Sarbanes-Oxley Act of 2002.
(filed herewith)
|
|
|
|
# |
|
Identified exhibit is a management contract, compensation plan
or arrangement. |
|
(1) |
|
Filed as an Exhibit to the Companys Registration Statement
on
Form S-3
filed with the SEC on March 30, 2010, SEC File
No. 333-165785,
and incorporated by reference. |
|
(2) |
|
Filed as an Exhibit to the Companys Registration Statement
on
Form S-8,
filed by the Company on March 28, 2003, SEC File
No. 333-104088
and incorporated by reference. |
|
(3) |
|
Filed as an Exhibit to the Companys Report on
Form 10-Q
for the fiscal quarter ended February 23, 2002, SEC File
No. 0-17276
and incorporated by reference. |
|
(4) |
|
Filed as an Exhibit to the Companys Report on
Form 10-Q
for the quarter ended May 26, 2007, SEC File
No. 0-17276
and incorporated by reference. |
|
(5) |
|
Filed as an Exhibit to the Companys Registration Statement
on
Form S-8,
filed by the Company on April 8, 2008, SEC File
No. 333-165955
and incorporated by reference. |
|
(6) |
|
Filed as an Exhibit to the Companys Report on
Form 10-Q
for the fiscal quarter ended March 1, 2008, SEC File
No. 0-17276
and incorporated by reference. |
|
(7) |
|
Filed as an Exhibit to the Companys Report on
Form 10-Q
for the fiscal quarter ended May 29, 2010, SEC File
No. 0-17276
and incorporated by reference. |
60
SIGNATURES
Pursuant to the requirements of Section 13 or 15(d) of the
Securities Exchange Act of 1934, the Registrant has duly caused
this report to be signed on its behalf by the undersigned,
thereunto duly authorized.
FSI INTERNATIONAL, INC.
|
|
|
|
By:
|
/s/ Donald
S. Mitchell
|
Donald S. Mitchell, Chairman and
Chief Executive Officer
(Principal Executive Officer)
Dated: November 3, 2010
|
|
|
|
By:
|
/s/ Patricia
M. Hollister
|
Patricia M. Hollister, Chief Financial Officer
(Principal Financial and Accounting Officer)
Pursuant to the requirements of the Securities Exchange Act of
1934, this report has been signed below by the following
persons, constituting a majority of the Board of Directors, on
behalf of the Registrant and in the capacities and on the dates
indicated.
James A. Bernards, Director*
Terrence W. Glarner, Director*
Willem D. Maris, Director*
Donald S. Mitchell, Director*
David V. Smith, Director*
|
|
|
|
*By:
|
/s/ Patricia
M. Hollister
|
Patricia M. Hollister, Attorney-in-fact
Dated: November 3, 2010
61
INDEX TO
EXHIBITS
|
|
|
|
|
|
|
Exhibit
|
|
Description
|
|
Method of Filing
|
|
|
3
|
.1
|
|
Restated Articles of Incorporation of the Company.(1)
|
|
Incorporated by reference
|
|
3
|
.2
|
|
Restated By-Laws.(1)
|
|
Incorporated by reference
|
|
3
|
.3
|
|
Articles of Amendment of Restated Articles of Incorporation.(1)
|
|
Incorporated by reference
|
|
10
|
.1
|
|
FSI International, Inc. 1997 Omnibus Stock Plan (as amended and
restated April 2001).(2)
|
|
Incorporated by reference
|
|
10
|
.2
|
|
Form of Incentive Stock Option Agreement for the FSI
International, Inc. 1997 Omnibus Stock Plan, as amended.(3)
|
|
Incorporated by reference
|
|
10
|
.3
|
|
Form of Incentive Stock Option Agreement for Outside Directors
for the FSI International, Inc. 1997 Omnibus Stock Plan, as
amended.(3)
|
|
Incorporated by reference
|
|
10
|
.4
|
|
FSI International, Inc. 2008 Omnibus Stock Plan (as amended and
restated January 2010).(5)
|
|
Incorporated by reference
|
|
10
|
.5
|
|
FSI International, Inc. Employees Stock Purchase Plan (as
amended and restated January 2010).(5)
|
|
Incorporated by reference
|
|
10
|
.6
|
|
Management Agreement entered into as of March 28, 2008, by
and between FSI International, Inc. and Donald S. Mitchell.
(Identical Management Agreements were entered into on
March 28, 2008 between the Company and each of Benno G.
Sand, Patricia M. Hollister and John C. Ely. These Management
Agreements have been omitted but will be filed if requested in
writing by the Commission)(6)#
|
|
Incorporated by reference
|
|
10
|
.7
|
|
Severance Agreement entered into as of March 28, 2008, by
and between FSI International, Inc. and Benno G. Sand.(6)#
|
|
Incorporated by reference
|
|
10
|
.8
|
|
Employment Agreement entered into as of March 28, 2008, by
and between FSI International, Inc. and Donald S. Mitchell.(6)#
|
|
Incorporated by reference
|
|
10
|
.9
|
|
Amended and Restated Summary of Terms of Employment entered into
as of March 28, 2008 between FSI International and Donald
S. Mitchell.(6)#
|
|
Incorporated by reference
|
|
10
|
.10
|
|
Severance Agreement entered into as of March 28, 2008, by
and between FSI International, Inc. and Patricia M. Hollister.
(An identical Severance Agreement was entered into on
March 28, 2008 between the Company and John C. Ely. This
Severance Agreement has been omitted but will be filed if
requested in writing by the Commission.)(6)#
|
|
Incorporated by reference
|
|
10
|
.11
|
|
Stock Purchase Agreement dated as of May 15, 2007 by an
among FSI International, Inc., MBK Project Holdings Ltd.,
Chlorine Engineers Corp. Ltd., Yasuda Enterprise
Development III Limited Partnership, Mizuho Capital Co.,
Ltd., Mr. Hideki Kawai, Mr. Takanori Yoshioka and
Mr. Satoshi Shikami. (exhibits omitted)(4)
|
|
Incorporated by reference
|
|
10
|
.12
|
|
Incentive Compensation Plan.(7)#
|
|
Incorporated by reference
|
|
21
|
.0
|
|
Subsidiaries of the Company.
|
|
Filed herewith
|
|
23
|
.0
|
|
Consent of KPMG LLP, independent registered public accounting
firm.
|
|
Filed herewith
|
|
24
|
.0
|
|
Powers of Attorney from the Directors of FSI International, Inc.
|
|
Filed herewith
|
|
31
|
.1
|
|
Certification by Principal Executive Officer Pursuant to
Section 302 of the Sarbanes-Oxley Act.
|
|
Filed herewith
|
|
31
|
.2
|
|
Certification by Principal Financial and Accounting Officer
Pursuant to Section 302 of the Sarbanes-Oxley Act.
|
|
Field herewith
|
|
32
|
.1
|
|
Certification of Chief Executive Officer Pursuant to
18 U.S.C. Section 1350, as Adopted Pursuant to
Section 906 of the Sarbanes-Oxley Act of 2002.
|
|
Filed herewith
|
|
|
|
# |
|
Identified exhibit is a management contract, compensation plan
or arrangement. |
62
|
|
|
(1) |
|
Filed as an Exhibit to the Companys Registration Statement
on
Form S-3
filed with the SEC on March 30, 2010, SEC File
No. 333-165785,
and incorporated by reference. |
|
(2) |
|
Filed as an Exhibit to the Companys Registration Statement
on
Form S-8,
filed by the Company on March 28, 2003, SEC File
No. 333-104088
and incorporated by reference. |
|
(3) |
|
Filed as an Exhibit to the Companys Current Report on
Form 8-K,
filed by the Company on October 20, 2004, SEC File
No. 0-17276
and incorporated by reference. |
|
(4) |
|
Filed as an Exhibit to the Companys Report on
Form 10-Q
for the quarter ended May 26, 2007, SEC File
No. 0-17276
and incorporated by reference. |
|
(5) |
|
Filed as an Exhibit to the Companys Registration Statement
on
Form S-8,
filed by the Company on April 8, 2010, SEC File
No. 333-165955
and incorporated by reference. |
|
(6) |
|
Filed as an Exhibit to the Companys Report on
Form 10-Q
for the fiscal quarter ended March 1, 2008, SEC File
No. 0-17276
and incorporated by reference. |
|
(7) |
|
Filed as an Exhibit to the Companys Report on
Form 10-Q
for the fiscal quarter ended May 29, 2010, SEC File
No. 0-17276
and incorporated by reference. |
63