Back to GetFilings.com




1

================================================================================

UNITED STATES
SECURITIES AND EXCHANGE COMMISSION
WASHINGTON, DC 20549

FORM 10-K

MARK ONE
[X] ANNUAL REPORT PURSUANT TO SECTION 13 OR 15(d) OF THE SECURITIES EXCHANGE
ACT OF 1934

FOR THE FISCAL YEAR ENDED OCTOBER 26, 1997

[ ] TRANSITION REPORT PURSUANT TO SECTION 13 OR 15(d) OF THE
SECURITIES EXCHANGE ACT OF 1934

FOR THE TRANSITION PERIOD FROM
--------------- TO
---------------

COMMISSION FILE NUMBER 0-6920

APPLIED MATERIALS, INC.
(EXACT NAME OF REGISTRANT AS SPECIFIED IN ITS CHARTER)



DELAWARE 94-1655526
(STATE OR OTHER JURISDICTION (I.R.S. EMPLOYER
OF INCORPORATION OR ORGANIZATION) IDENTIFICATION NO.)
3050 BOWERS AVENUE, SANTA CLARA, CALIFORNIA 95054
(ADDRESS OF PRINCIPAL EXECUTIVE OFFICES) (ZIP CODE)


REGISTRANT'S TELEPHONE NUMBER, INCLUDING AREA CODE: (408) 727-5555

SECURITIES REGISTERED PURSUANT TO SECTION 12(B) OF THE ACT:



TITLE OF CLASS NAME OF EACH EXCHANGE ON WHICH REGISTERED
- ------------------------------------------------------------------------------------------------

NONE NONE


SECURITIES REGISTERED PURSUANT TO SECTION 12(G) OF THE ACT:



COMMON STOCK, $.01 PAR VALUE NASDAQ


Indicate by check mark whether the registrant (1) has filed all reports
required to be filed by Section 13 or 15(d) of the Securities Exchange Act of
1934 during the preceding 12 months (or for such shorter period that the
registrant was required to file such reports), and (2) has been subject to such
filing requirements for the past 90 days. YES [X] NO [ ].

Indicate by check mark if disclosure of delinquent filers pursuant to Item
405 of Regulation S-K is not contained herein, and will not be contained, to the
best of registrant's knowledge, in definitive proxy or information statements
incorporated by reference in Part III of this Form 10-K or any amendment to this
Form 10-K. [ ]

Aggregate market value of the voting stock held by nonaffiliates of the
registrant as of December 26, 1997: $10,776,331,841

Number of shares outstanding of the issuer's Common Stock, $.01 par value,
as of December 26, 1997: 366,074,967

DOCUMENTS INCORPORATED BY REFERENCE:

Portions of the Applied Materials 1997 Annual Report for the year ended
October 26, 1997 are incorporated by reference into Parts II and IV of this Form
10-K.

Portions of the definitive Proxy Statement for the Company's Annual Meeting
of Stockholders to be held on March 17, 1998 are incorporated by reference into
Part III of this Form 10-K.
================================================================================
2

PART I

ITEM 1. BUSINESS

Organized in 1967, Applied Materials, Inc. (Applied Materials or the
Company) develops, manufactures, markets and services semiconductor wafer
fabrication equipment and related spare parts for the worldwide semiconductor
industry. The Company's customers include semiconductor wafer manufacturers and
semiconductor integrated circuit (IC), or "chip," manufacturers. IC
manufacturers either use the chips in their own products or sell them to other
companies. Applied Materials is also a fifty-percent stockholder in Applied
Komatsu Technology, Inc., a joint venture corporation that produces equipment to
fabricate thin film transistors on flat panel displays.

ACQUISITIONS

During the first fiscal quarter of 1997, the Company acquired two companies
(Opal, Inc. and Orbot Instruments, Ltd.) in separate transactions for
approximately $292.5 million, consisting primarily of cash. Opal, Inc. (Opal) is
a supplier of CD-SEM (Critical Dimension-Scanning Electron Microscope) systems
for use in semiconductor manufacturing. Orbot Instruments, Ltd. (Orbot) supplies
wafer and reticle inspection systems for use in the production of
semiconductors. The acquisitions were completed by the early part of January
1997, and have been accounted for using the purchase method of accounting;
accordingly, the Company's consolidated results of operations include the
operating results of Opal and Orbot subsequent to the acquisition dates.

In connection with the acquisitions, the Company incurred a $59.5 million
non-tax deductible charge for acquired in-process research and development. With
the exception of this charge, the Company's results of operations for the year
ended October 26, 1997 were not materially affected by the acquisitions. As of
October 26, 1997, the Company had approximately $199.6 million of net intangible
assets and $38.6 million of deferred tax liabilities that resulted from the
acquisitions. With the exception of these items, the Company's financial
condition as of October 26, 1997 has not been materially affected by the
acquisitions.

The Company's pro-forma net sales, income from operations, net income and
earnings per share for the fiscal years ended October 26, 1997 and October 27,
1996, assuming the acquisitions occurred at the beginning of such periods, would
not have been materially different from the actual amounts reported for such
periods.

PRODUCTS

Applied Materials' products are wafer processing and diagnostic systems
that use highly sophisticated, state-of-the-art technology in design of their
hardware, process chemistry and software. These systems provide enabling
technology, productivity and cost-effective manufacturing to the customer. The
Company's products are used to fabricate integrated circuits, or "chips," on a
substrate of semiconductor material (usually silicon). A finished IC may consist
of millions of microscopic electronic components that interact to perform
electrical functions. The fabrication process must control the quality of the
film and the preciseness of the individual circuit features to ensure proper
device performance while also meeting economic goals such as high yield and
throughput. The Company currently manufactures equipment that addresses the
following steps in the wafer fabrication process: film deposition, etching, ion
implantation, rapid thermal processing (RTP), chemical mechanical polishing
(CMP), metrology and wafer/reticle inspection.

Single-wafer, multi-chamber architecture. The trend toward more stringent
process requirements and larger wafer sizes prompted Applied Materials to
develop its first single-wafer, multi-chamber platform, called the Precision
5000(R) system. The Company introduced the Precision 5000 with dielectric
chemical vapor deposition (CVD) processes in 1987, etch processes in 1988 and
CVD tungsten processes in 1989. In addition to the process precision and control
afforded by single-wafer process chambers, the multi-chamber platform concept
provides customers with a significant benefit in processing productivity and
integration. Several process chambers can be mounted on a platform, which acts
as a central wafer handling system. This architecture provides a closed,
controlled environment for the wafer and enables the system to process several
wafers simultaneously. In 1990, the Company used its expertise in single-wafer,
multi-chamber architecture to

2
3

introduce a second single-wafer, multi-chamber platform, called the Endura(R)
PVD (physical vapor deposition) system, featuring a staged, ultra-high vacuum
architecture. In September 1992, the Company announced a third single-wafer,
multi-chamber platform, the Centura(R) system, to target the high temperature
thin film markets and other mainstream process applications with 0.5-micron and
below specifications. In 1996, the Company released a fourth single-wafer,
multi-chamber platform, called the Optima(TM), which is intended for high-volume
CVD applications. Applied Materials' commercialization of the multi-chamber
platform concept reached a significant milestone in early 1997 with the
Company's shipment of its 5,000th single-wafer, multi-chamber system.

With many customers in the planning process for 300mm wafer fabs, Applied
Materials has been actively developing a complete line of 300mm systems in its
core process technologies covering more than 60 applications. In order to
perform many types of process measurements and testing, without the need to send
processed wafers to outside companies or back to customers, Applied Materials
built the industry's first complete in-house metrology lab for 300mm wafers. In
March 1997, Applied Materials shipped the industry's first 300mm system, a 300mm
RTP system, to a customer. Several other 300mm systems, including epitaxial
(epi) and CVD systems, were sent to customers and to industry consortia at
various locations during the year. All of the Company's mainstream process
technologies are in development for 300mm wafer sizes.

Partially to support this 300mm program, the Company announced, in July
1997, its plans to invest $430 million in research and product development
facilities in Santa Clara, California. During the same time period, another
stage of the Company's Austin, Texas manufacturing center expansion was
completed. A new 310,000-square-foot building was also begun in Austin as part
of a master plan to build a manufacturing infrastructure ultimately capable of
supporting at least $10 billion in annual revenues. Additional plans were
announced, in 1997, to build the industry's first equipment process pilot line,
which would replicate many of the functions of an operating fab and enable
Applied Materials to perform higher levels of integration among its various
technologies in simulated "real world" conditions. This facility, also to be
built in Santa Clara, will contain advanced photolithography related tools and a
spectrum of metrology and device testing equipment.

PROCESS TECHNOLOGIES

Deposition. Deposition is a fundamental step in fabricating an integrated
circuit. During deposition, a layer of either electrically insulating
(dielectric) or electrically conductive material is deposited or grown on a
wafer. Applied Materials currently provides equipment to perform two main types
of deposition: CVD and PVD. The Company also offers certain types of dielectric
deposition processes using its RTP system. In 1997, Applied Materials introduced
several of these processes as new applications for its industry-leading RTP XE
Centura product. These processes provide a more accurate and controllable method
of fabricating advanced device structures than traditional furnace technology.

CVD. Chemical vapor deposition is a process frequently used in
semiconductor fabrication in which thin films (insulators, conductors and
semiconductors) are deposited or grown from gaseous sources. The Company
produces several different types of CVD systems.

Dielectric CVD. Dielectric films are used to electrically isolate certain
areas of an integrated circuit. In 1987, the Company introduced the Precision
5000 CVD system which performs a broad range of deposition processes utilizing
up to four individual chambers on a single system. During the 1990s, a variety
of dielectric plasma-enhanced CVD process applications were released on the
Precision 5000 and Centura platforms to address customers' specific device
requirements. In April 1994, the Company released its sub-atmospheric (SA)
process technology, which today addresses device geometries to 0.18 micron and
below. The Company has continued to refine the SACVD technology and address
customers' requirements for high productivity. In April 1997, Applied Materials
released the Giga-Fill(TM) SACVD Centura system, a leapfrog advancement in
pre-metal dielectric CVD technology for 0.18-micron device designs. This new
Giga-Fill system combines the SACVD BPSG (borophosphosilicate glass) process
with a new, high-throughput, cost-efficient xZ-series chamber to offer customers
higher levels of economic performance as well as leading-edge technology.

In February 1996, the Company introduced a first generation system using a
new type of CVD technology, known as high-density plasma (HDP), for the most
advanced CVD applications. Later that year,

3
4

a second generation system, the Ultima HDP-CVD(TM) Centura system, was
announced, offering the industry's first production-ready HDP-CVD system for
multiple processes and applications, including deposition of fluorinated
silicate glass (FSG) for emerging low dielectric constant (low k) applications.
The Ultima system also introduced the industry's first Remote Plasma Clean
technology that virtually eliminates "global warming" emissions from the CVD
chamber cleaning process. This "soft" clean process also reduces consumables
used by the chamber. Remote Plasma Clean technology is now being extended for
use on many other Applied Materials CVD systems.

Metal CVD. Metal films are used in specific areas of an integrated circuit
to form pathways for electrical current. In 1989, the Company entered the market
for metal chemical vapor deposition with the introduction of a new system for
depositing blanket tungsten (W) film, the Precision 5000 WCVD. In 1991, the
Company introduced CVD tungsten silicide capabilities; in 1993, CVD titanium
nitride; and in 1996, aluminum CVD. In December 1996, the Company expanded its
highly successful Endura HP Metal product line with new system options for
depositing titanium (Ti) and titanium nitride (TiN) liner/barrier films in
sub-0.25-micron, high aspect ratio contact and via structures. Each of these
products provides customers with new technology, as well as systems that have
been optimized for high throughput, productivity and low cost of ownership.
Processes for depositing copper-based films by CVD are currently in advanced
stages of development for chipmakers requiring those technologies. Most of the
Company's metal CVD process chambers are now being shipped on the Centura and
Endura platforms.

Epitaxial deposition. Epi deposition, a process used for some types of ICs,
involves growing a layer of pure crystal silicon to form a high quality base for
the subsequent device circuitry. Applied Materials' experience in epi dates from
the Company's inception. The Company currently offers two epi systems. The
Precision 7700 Epi(TM) system, introduced in 1989, extends the capabilities of
batch-type, radiantly-heated barrel technology and incorporates fully automated
wafer handling. The Epi Centura, introduced in 1993, is a single-wafer,
multi-chamber epi system for wafers up to 200mm (8 inches) in diameter.

Polysilicon deposition. Polysilicon is a material typically used to form
portions of the transistor structure on the wafer. In September 1992, the
Company announced the Poly Centura, a single-wafer, multi-chamber system
targeted at the deposition of thin polysilicon films at high temperatures on
wafers up to 200mm in diameter. The Polycide Centura, launched in December 1993,
integrated a polysilicon chamber and tungsten silicide chamber on a single
platform (Centura), to offer customers a single solution to forming polycide
structures in advanced devices. Using a variant of the technology used for
polysilicon deposition, in early 1997 Applied Materials launched a new process
for depositing high-temperature, single-wafer silicon nitride, a film previously
deposited almost exclusively in batch furnaces. The superior process control of
the single-wafer approach results in films of greater uniformity and precision,
which is increasingly important as transistor structures shrink to smaller
dimensions.

PVD. Physical vapor deposition is another method used to deposit metal
films. Unlike CVD, in which gases are used, the deposited materials come from
solid sources called targets. Applied Materials entered the PVD market in April
1990 with the Endura PVD system. This system offers a modular, two-stage,
single-wafer, multi-chamber platform that accommodates both ultra-high vacuum
processes like PVD and conventional high vacuum processes like CVD and etch. In
1993 and 1994, the Company introduced enhanced versions of the Endura system,
called the Endura HP (High Productivity) and Endura VHP(TM)(Very High
Productivity) PVD systems. A variety of process advancements have also been
continually introduced to keep pace with customers' changing technology
requirements. For highly advanced metallization applications, the Company now
offers several integrated combinations of metal CVD and PVD processes on the
Endura platform. In December 1996, Applied Materials announced an integrated
CVD/PVD process, called Cool Al(TM), which provides aluminum fill of
interconnect structures below 380 degrees(c). The low temperature of the process
is compatible with the low k dielectric films that are being used to make high
speed, multilevel devices at or below 0.25-micron design geometries. Processes
for building copper interconnect structures using PVD and integrated CVD/PVD
technologies are in development for announcement in fiscal 1998.

Etch. Films are selectively removed from a wafer during etching. Before
etch processing begins, a wafer is coated with photoresist and exposed to a
circuit pattern during photolithography. Etching removes material

4
5

only from areas dictated by the photoresist pattern. Applied Materials entered
the etch market in 1981 with the introduction of the AME 8100 etch system, which
utilized a batch process technology for dry plasma etching. Applied Materials'
first single-wafer, multi-chamber system for the dry etch market was the
Precision 5000 Etch, introduced in 1988 for silicon etching. In 1989 and 1990,
the Company introduced dielectric and metal etch systems based on the Precision
5000 architecture. In 1993, the Company introduced dielectric etching technology
on its next-generation Centura platform, the HDP Dielectric Etch Centura,
designed for applications requiring sub-0.5 micron design rules. Since 1993, the
Company has launched a series of MxP(TM)process chambers for metal, dielectric
and silicon etching, available on both the Precision 5000 and Centura platforms.
In mid-1997, the capabilities of the MxP design were further extended with the
introduction of the eMxP+(TM) chamber for dielectric etching. This reactive ion
etch (RIE) technology has proven capable of remarkable development, with this
latest chamber type already in use for 0.25-micron designs. The MxP chambers are
known for high reliability and low operating costs in high-volume production
environments.

Beginning in 1996, the Company introduced a new series of high-density
plasma etch systems for the most advanced etch applications. The 1996
introductions of the Metal Etch DPS(TM) (Decoupled Plasma Source) Centura system
and the Silicon Etch DPS(TM) Centura system targeted 0.35-micron and below
device designs for metal and silicon applications, respectively. In July 1997, a
second-generation chamber design was introduced for the DPS Metal Etch system,
employing several key engineering enhancements to the system. In April 1997, the
Company launched its most advanced, high-density plasma system for etching
dielectric films, called the Dielectric Etch IPS(TM) Centura. With these three
systems, Applied Materials now addresses all of the main dry etch market
segments for sub-0.35-micron design geometries, with the systems' most advanced
process capabilities aimed at feature sizes of 0.18-micron and below.

Ion Implantation. During ion implantation, silicon wafers are bombarded by
a high velocity beam of electrically charged ions. These ions penetrate film
material at selected sites, changing its electrical properties. Applied
Materials entered the high-current portion of the implant market in 1985 with
the Precision Implant 9000 and introduced the Precision Implant 9200 in 1988. In
November 1992, the Company introduced a new high-current ion implantation
system, the Precision Implant 9500, for the production of high-density
semiconductor devices, such as 16Mb and 64Mb memory devices and advanced
microprocessors. In 1996, the Company introduced a new series of small footprint
implant systems with the Implant xR80(TM), quickly expanding the line to include
the Implant xR120(TM), the Implant xR LEAP(TM) (Low Energy Advanced Processing)
and Implant xR200(TM), all with different energy ranges to suit diverse customer
needs. These systems were enhanced in 1997 with an "S" series, offering
significantly increased system throughput and lower cost of ownership.

Rapid Thermal Processing. RTP is a heat treatment process in which
high-intensity light energy is directed to a wafer for a short period of time,
usually less than 15 seconds. This heat pulse activates and controls the
movement of atoms in the already-deposited film to modify its electrical
properties. Applied Materials entered the fast-growing RTP market in 1995 with
the RTP Centura, which utilizes proprietary, leading-edge advances in thermal
measurement, control and calibration. Moving rapidly in 1997 to further enhance
its market leading position, the Company launched its first major system
enhancement, called the RTP XE Centura, which reduced operating costs for
customers while further elevating the reliability of several major components
and sub-systems. The RTP group also began moving beyond its core heat treatment
applications with the introduction of a Nitric Oxide process, which deposits the
critical (50A degrees) gate dielectric layers required for the most advanced
logic, DRAM and flash memory devices. Compared to traditional batch-type
furnaces, this single-wafer technology incorporates nitrogen into the gate oxide
with a reduced thermal budget, thereby enabling the accurate and repeatable
results necessary for deep submicron transistor formation.

Chemical Mechanical Processing. CMP is a material removal process in which
uneven topography on a wafer surface is removed until a flat (planarized)
surface is created. This allows subsequent photolithography processing to take
place with greater accuracy, and enables film layers to be built up with minimal
height variations. The Company announced its entry into the CMP market with the
Mirra(R) CMP in December 1995. The Mirra CMP system features a unique
three-station, four polishing head design that permits continuous processing of
several wafers simultaneously, yet with the precision afforded by single-head
process control.

5
6

Applied Materials continued its production ramp of the Mirra CMP system in 1997
with steady penetration into a number of key accounts. In June 1997, the Company
announced a significant enhancement to the Mirra system's fundamental component,
the polishing head, called the Titan Head. This proprietary head design
overcomes several shortcomings of traditional polishing heads by minimizing
effects at the edge of the wafer, thus allowing better uniformity and a higher
degree of planarity.

Metrology and Wafer/Reticle Inspection. The Company acquired two Israeli
companies, Opal, Inc. and Orbot Instruments, Ltd., in January 1997. Opal
produces CD-SEM systems that measure the accuracy of circuitry dimensions to
ensure that manufacturing processes are operating within specifications.
Building on the proven technology of the earlier 7830-series systems, the Opal
7830Si was introduced in 1997, adding improvements in optics, imaging and
software. Orbot makes two types of inspection tools. One tool detects and
classifies defects in semiconductor wafers and the other tool performs defect
detection on reticles (also called masks) used by photolithography systems to
pattern wafers. For reticle inspection, the Orbot RT 8000 was also upgraded in
1997 with a variety of new features that improved its capability to inspect
reticles used in 0.25-micron and below device geometries.

CUSTOMER SERVICE AND SUPPORT

The Company installs equipment and provides warranty service worldwide
through offices located in the United States, Europe (including Israel), Japan,
Korea, Taiwan and Asia-Pacific (China and Southeast Asia). The Company maintains
approximately 84 sales and service offices worldwide, with 26 offices located in
the United States, 16 in Europe, 27 in Japan, 7 in Korea, 2 in Taiwan and 6 in
Asia-Pacific. The Company offers a variety of service contracts to customers for
the maintenance of installed equipment and provides a comprehensive training
program for all customers.

BACKLOG

At October 26, 1997, the Company's backlog totaled $1.7 billion, compared
to $1.4 billion at October 27, 1996. The Company schedules production of its
systems based upon order backlog and customer commitments. The backlog includes
only orders for which written authorizations have been accepted and shipment
dates within 12 months have been assigned. Due to possible changes in customer
delivery schedules and cancellations of orders, the Company's backlog at any
particular date is not necessarily indicative of actual sales for any succeeding
period.

MANUFACTURING, RAW MATERIALS AND SUPPLIES

The Company's manufacturing activities consist primarily of assembling
various commercial and proprietary components into finished systems, principally
in the United States, with additional operations in England, Israel, Japan,
Korea and Taiwan. Production requires some raw materials and a wide variety of
mechanical and electrical components, which are manufactured to the Company's
specifications. Multiple commercial sources are available for most components.
The Company has consolidated the number of sources for several key purchased
items for purposes of improving its position with suppliers, resulting in
improved on-time delivery, lower inventory levels and better pricing to the
Company. There have been no significant delays in receiving components from sole
source suppliers; however, the unavailability of any of these components in the
future could disrupt scheduled deliveries to customers.

The Company has commenced, for all of its information systems, a year 2000
date conversion project to address all necessary changes, testing and
implementation. The "Year 2000 Issue" creates risk for the Company from
unforeseen problems in its own computer systems and from third parties with whom
the Company deals on financial transactions worldwide. Such failures of the
Company's and/or third parties' computer systems could have a material impact on
the Company's ability to conduct its business, and especially to process and
account for the transfer of funds electronically. Management is currently
assessing the Year 2000 compliance expense and related potential effect on the
Company's earnings.

6
7

MARKETING AND SALES

Because of the highly technical nature of its products, the Company markets
its products worldwide through a direct sales force, with sales, service and
spare parts offices in the United States, Europe, Japan, Korea, Taiwan and
Asia-Pacific. For the fiscal year ended October 26, 1997, net sales to customers
in North America (primarily the United States), Europe, Japan, Korea, Taiwan and
Asia-Pacific were approximately 37%, 15%, 18%, 8%, 17% and 5%, respectively, of
the Company's total net sales. For the fiscal year ended October 27, 1996, net
sales to customers in North America (primarily the United States), Europe,
Japan, Korea, Taiwan and Asia-Pacific were approximately 31%, 16%, 24%, 14%, 10%
and 5%, respectively, of the Company's total net sales. The Company's business
is not seasonal in nature, but it is cyclical based on the capital equipment
investment expenditures of major semiconductor manufacturers. These expenditure
patterns are based on many factors, including anticipated market demand for
integrated circuits, the development of new technologies and global economic
conditions.

The Company sells systems and provides services to customers located
throughout the world. Managing global operations and sites located throughout
the world presents challenges associated with cultural diversities and
organizational alignment. Moreover, each region in the global semiconductor
equipment market exhibits unique characteristics that can cause capital
equipment investment patterns to vary significantly from period to period.
Although international markets provide the Company with significant growth
opportunities, periodic economic downturns, trade balance issues, political
instability and fluctuations in interest and foreign currency exchange rates are
all risks that could affect global product and service demand. Many Pacific Rim
countries are currently experiencing banking and currency difficulties that
could lead to economic recession in those countries. Specifically, the decline
in value of the Korean currency, together with difficulties obtaining credit,
could result in a decline in the purchasing power of the Company's Korean
customers. This in turn could result in the cancellation or delay of orders for
the Company's products from Korean customers, thus adversely affecting the
Company's results of operations. In addition, if Japan's economy weakens
further, investments by Japanese customers may be negatively affected and it is
possible that economic recovery in other Pacific Rim countries could be delayed.
The Company actively manages its exposure to changes in foreign currency
exchange rates, but there can be no assurance that future changes in foreign
currency exchange rates will not have a material effect on its results of
operations or financial condition.

RESEARCH AND DEVELOPMENT

The markets served by the Company are characterized by rapid technological
change. The Company's research and development efforts are global in nature.
Engineering organizations are located in the United States, England, Israel and
Japan, with process support and customer demonstration laboratories in the
United States, England, Israel, Japan, Korea and Taiwan. Since 1984, the Company
has operated a large-scale technology center in Narita, Japan, which has been
expanded several times to meet the requirements of the Japanese customer base.
In 1996, the Company completed construction of new technology centers in Korea
and Taiwan. The Company also operates a technology center in Israel, which is
being used to develop controller configuration and software tools for its
semiconductor processing systems; additionally, research, development and
engineering activities are performed in Israel for metrology and wafer/recticle
inspection products. The Company's research and development activities are
primarily directed toward the development of new wafer processing, metrology and
wafer/recticle inspection systems as well as new process applications for
existing products. The Company works closely with its global customers to design
systems to meet its customers' planned technical and production requirements.
During fiscal 1997, 1996, and 1995, research, development, and engineering
expenses were $567,612,000, $481,394,000, and $329,676,000, respectively.

COMPETITION

The global semiconductor equipment industry is highly competitive and
characterized by increasingly rapid technological advancements and demanding
worldwide service requirements. Each of the Company's products competes in
markets defined by the particular wafer fabrication process it performs. There
are several companies that compete with Applied Materials in each of these
markets. Competition is based on many factors, primarily technological
advancements, productivity and cost-effectiveness, customer support, contami-

7
8

nation control and overall product quality. Management believes that the
Company's competitive advantage in each of its served markets is based on the
ability of its products and services to address customer requirements as they
relate to these competitive factors.

Applied Materials is a principal supplier in each of its served markets.
The Company faces strong competition throughout the world from other
semiconductor equipment manufacturers, as well as semiconductor manufacturers
that design and produce fabrication equipment for their own internal uses and,
in some cases, for resale. Management believes that the Company is a strong
competitor with respect to its products, services and resources. However, new
products, pricing pressures and other competitive actions from both new and
existing competitors could adversely affect the Company's market position.

JOINT VENTURE

In September 1991, the Company announced its plans to develop thin film
transistor (TFT) manufacturing systems for Active-Matrix Liquid Crystal Displays
(AMLCDs). The AMLCD market currently includes screens for laptop, notebook and
palmtop computers, desktop monitors, digital/video cameras, portable televisions
and instrument displays and may eventually include High Definition Television
(HDTV). In September 1993, the Company and Komatsu, Ltd. of Japan formed a joint
venture corporation, Applied Komatsu Technology, Inc. (AKT), to target this
equipment market. The Company and Komatsu, Ltd. each own 50% of AKT, and the
Company accounts for the joint venture using the equity method. The Company has
granted AKT an exclusive license to use the Company's intellectual property to
develop, manufacture and sell products for the production of flat panel
displays, in exchange for royalties in respect thereof.

PATENTS AND LICENSES

Management believes that the Company's competitive position is primarily
dependent upon skills in engineering, production, and marketing, rather than its
patent position. However, protection of the Company's technology assets by
obtaining and enforcing patents is important. Consequently, the Company has an
active program to file applications in the United States and other countries for
inventions that the Company considers to be significant. The Company has a
number of patents in the United States and other countries and additional
applications are pending for new developments in its equipment and processes. In
addition to patents, the Company also possesses other proprietary intellectual
property, including trademarks, know-how, trade secrets and copyrights.

The Company enters into patent and technology licensing agreements with
other companies when management determines it is in the Company's best interest
to do so. The Company pays royalties under existing patent license agreements
for the use, in several of its products, of certain patents that are licensed to
the Company for the life of the patents.

In the normal course of business, the Company from time to time receives
and makes inquiries with regard to possible patent infringement. In dealing with
such inquiries, it may become necessary or useful for the Company to obtain and
grant licenses or other rights. However, there can be no assurance that such
license rights will be available to the Company on commercially reasonable
terms. Although there can be no assurance about the outcome of such inquiries,
the Company believes that it is unlikely that their resolution will have a
material adverse effect on its results of operations or financial condition.

ENVIRONMENTAL MATTERS

Although one of the Company's locations has been designated as a Superfund
site by the United States Environmental Protection Agency, neither compliance
with Federal, State and local provisions regulating discharge of materials into
the environment, nor remedial agreements or other actions relating to the
environment, has had, or is expected to have, a material effect on the Company's
capital expenditures, results of operations, financial condition or competitive
position. The Company has been designated a "Potentially Responsible Party" by
the U.S. Environmental Protection Agency with respect to its Superfund site.

8
9

EMPLOYEES

At October 26, 1997, the Company employed approximately 13,924 regular
full-time employees. In the high technology industry, competition for highly
skilled employees is intense. The Company believes that a great part of its
future success depends on its continued ability to attract and retain qualified
employees. None of the Company's employees are represented by a trade union.
Management considers its relations with its employees to be good.

ITEM 2. PROPERTIES

Certain information concerning the Company's principal properties at
October 26, 1997 is set forth below:



SQUARE
LOCATION TYPE PRINCIPAL USE FOOTAGE OWNERSHIP
- ----------------- ---------------- ------------------------- ---------- ---------

Santa Clara, CA Office, plant & Headquarters, Marketing, 968,000 owned
warehouse Manufacturing, Research 1,813,000 leased
and Engineering
Austin, TX Office, plant & Manufacturing 824,000 owned
warehouse 300,000 leased
Horsham, England Office, plant & Manufacturing, Research 81,000 leased
warehouse and Engineering
Narita, Japan Office, plant & Manufacturing, Research 222,000 owned*
warehouse and Engineering
Chun-An, Korea Office, plant & Manufacturing, Research 107,000 owned
warehouse and Engineering
Hsin-Chu, Taiwan Office, plant & Manufacturing, Research 268,000 owned
warehouse and Engineering 21,000 leased
Tel Aviv, Israel Office Research and Engineering 21,000 leased
Nes Ziona, Israel Office, plant & Manufacturing, Research 60,000 leased
warehouse and Engineering
Yavne, Israel Office, plant & Manufacturing, Research 55,000 leased
warehouse and Engineering


- ---------------
* Subject to loans of $50.0 million, secured by property and equipment having an
approximate net book value of $66.3 million at October 26, 1997.

The Company also leases office space for 84 sales and service offices
throughout the world: 26 offices are located in the United States, 16 in Europe,
27 in Japan, 7 in Korea, 2 in Taiwan and 6 in Asia-Pacific.

The Company currently owns 266,000 square feet of manufacturing and other
operating facilities in California that have not yet been completed and placed
in service. In addition, the Company is currently constructing 310,000 square
feet of manufacturing facilities in Texas.

The Company also owns 99 acres of buildable land in Austin, Texas and 43
acres of buildable land in Santa Clara, California. The Austin and Santa Clara
land can accommodate approximately 976,000 and 1,340,000 square feet,
respectively, of additional building space to help satisfy the Company's current
and future needs.

Management considers the above facilities suitable and adequate to meet the
Company's requirements.

ITEM 3. LEGAL PROCEEDINGS

Subsequent to the end of fiscal 1997, the Company resolved all outstanding
legal disputes with Advanced Semiconductor Materials International N.V., Epsilon
Technology Inc. (doing business as ASM Epitaxy) and Advanced Semiconductor
Materials America Inc. (collectively ASM). The settlement included ASM's payment
of $80 million, a cross license of certain patented technologies held by Applied
Materials and ASM, an ongoing royalty payable by ASM on semiconductor systems
for epitaxial and plasma TEOS technologies

9
10

and a dismissal with prejudice of all pending litigation between the companies.
The settlement also included certain covenants not to sue for potential patent
infringement of existing commercially available semiconductor systems and
processes offered by each company. ASM's payment of $80 million was in the form
of a convertible note, against which the Company received a $15 million payment
in November 1997. Royalties from ASM are not expected to have a material effect
on the Company's results of operations.

On or about October 23, 1997, the Company entered into an agreement with
General Signal Corporation (GSC), pursuant to which the Company acquired the
rights to five "cluster tool" architecture patents and corresponding
continuations, divisionals and foreign counterparts, formerly belonging to
Drytek Systems, for a payment of $11 million. The agreement also resolved all
pending patent litigation between the Company and GSC in the United States
District Court, District of Delaware, which was dismissed with prejudice.

During the third fiscal quarter of 1997, the Company settled certain
outstanding patent litigation with Novellus Systems, Inc. (Novellus) concerning
plasma TEOS and tungsten CVD technology. The settlement included a payment of
$80 million from Novellus, a cross license of certain patented technology held
by Applied Materials and Novellus, and payment by Novellus of ongoing royalties
for certain system shipments subsequent to the date of the settlement. These
royalties have not had, and are not expected to have, a material effect on the
Company's results of operations.

In April 1997, the Company filed suit against AST Electronik GmbH and AST
Electronik USA Inc. (collectively AST), and AG Associates, Inc. (AG) in the
United States District Court for the Northern District of California (case no.
C-97-20375RWM), alleging infringement of several of the Company's patents
relating to RTP. In October 1997, AST and AG each brought counterclaims alleging
that the Company infringed patents concerning related technology. Discovery is
commencing and trial has been set for March 1999.

As a result of the Company's acquisition of Orbot, the Company is a
defendant in a lawsuit captioned KLA Instruments Corporation (KLA) v. Orbot
Instruments, Ltd. (case no. C-93-20886-JW), in the United States District Court
for the Northern District of California. KLA alleges that the Company infringes
one patent regarding equipment for the inspection of masks and reticles, and
seeks an injunction, damages and such other relief as the Court may find
appropriate. There has been some discovery, but no trial date has been
scheduled.

On June 13, 1997, the Company filed a patent infringement lawsuit against
Varian Associates, Inc. captioned Applied Materials, Inc. v. Varian Associates,
Inc. (Varian) (case no. C-97-20523-RMW), alleging infringement of several of the
Company's patents concerning PVD technology. The complaint was later amended on
July 7, 1997 to include Novellus as a defendant as a result of Novellus'
acquisition of the Varian thin film PVD business unit. The Company seeks damages
for past infringement, a permanent injunction, treble damages for willful
infringement, pre-judgment interest and attorneys fees. Varian answered the
complaint by denying all allegations, counterclaiming for declaratory judgment
of invalidity and unenforceability and alleging conduct by Applied Materials
violative of the antitrust laws. On June 23, 1997, Novellus filed a separate
patent infringement lawsuit against the Company captioned Novellus Systems, Inc.
v. Applied Materials, Inc. (case no. C-97-20551-EAI), alleging infringement by
the Company of three patents concerning PVD technology which were formerly owned
by Varian. On July 8, 1997, Varian filed a separate lawsuit against the Company
captioned Varian Associates, Inc. v. Applied Materials, Inc. (case no.
C-97-20597-PVT), alleging a broad range of conduct in violation of the federal
antitrust law and state unfair competition and business practice statutes.
Discovery has commenced in these actions. No trial dates have been set.

In the normal course of business, the Company from time to time receives
and makes inquiries regarding possible patent infringement. Management believes
that it has meritorious claims and defenses and intends to pursue these matters
vigorously.

ITEM 4. SUBMISSION OF MATTERS TO A VOTE OF SECURITY HOLDERS IN FOURTH QUARTER OF
FISCAL 1997

None.

10
11

EXECUTIVE OFFICERS OF THE REGISTRANT

The following table and notes thereto identify and set forth information
about the Company's five executive officers:



NAME OF INDIVIDUAL CAPACITIES IN WHICH SERVED
- ------------------------------ -----------------------------------------------------

James C. Morgan(1)............ Chairman of the Board of Directors and Chief
Executive Officer
Dan Maydan(2)................. President of the Company and Chairman of Applied
Komatsu Technology, Inc.
Joseph R. Bronson(3).......... Senior Vice President, Chief Financial Officer and
Chief Administrative Officer
Sasson Somekh(4).............. Senior Vice President
David N.K. Wang(5)............ Senior Vice President


- ---------------

(1) Mr. Morgan, age 59, has been Chief Executive Officer since 1977 and Chairman
of the Board of Directors since 1987. Mr. Morgan also served as President of
the Company from 1976 to 1987.

(2) Dr. Maydan, age 62, was appointed President of the Company in December 1993.
Dr. Maydan served as Executive Vice President from 1990 to December 1993.
Prior to that, Dr. Maydan had been Group Vice President since February 1989.
Dr. Maydan joined Applied Materials in 1980 as a Director of Technology.

(3) Mr. Bronson, age 49, was appointed Senior Vice President, Chief Financial
Officer and Chief Administrative Officer in January 1998, upon the
retirement of Mr. Gerald F. Taylor, who had most recently served as Senior
Vice President and Chief Financial Officer of the Company and who will
continue to serve as a senior advisor to the Company. Mr. Bronson served as
Group Vice President from April 1994 to January 1998. Prior to that, Mr.
Bronson had been Vice President since November 1990. Mr. Bronson joined
Applied Materials in September 1984 as Corporate Controller.

(4) Dr. Somekh, age 51, was appointed Senior Vice President of the Company in
December 1993. Dr. Somekh served as Group Vice President from 1990 to 1993.
Prior to that, Dr. Somekh had been a divisional Vice President. Dr. Somekh
joined Applied Materials in 1980 as a Project Manager.

(5) Dr. Wang, age 51, was appointed Senior Vice President of the Company in
December 1993. Dr. Wang served as Group Vice President from 1990 to 1993.
Prior to that, Dr. Wang had been a divisional Vice President. Dr. Wang
joined Applied Materials in 1980 as a Manager, Process Engineering and
Applications.

PART II

ITEM 5. MARKET FOR REGISTRANT'S COMMON EQUITY AND RELATED STOCKHOLDER MATTERS

"Stock Price History" on page 52 of the Applied Materials 1997 Annual
Report is incorporated herein by reference.

The Company's common stock is traded on the Nasdaq over-the-counter market.
As of December 26, 1997, there were approximately 4,703 holders of record of the
common stock.

To date, the Company has paid no cash dividends to its stockholders. The
Company has no plans to pay cash dividends in the near future.

ITEM 6. SELECTED CONSOLIDATED FINANCIAL DATA

"Selected Consolidated Financial Data" on page 24 of the Applied Materials
1997 Annual Report is incorporated herein by reference.

11
12

ITEM 7. MANAGEMENT'S DISCUSSION AND ANALYSIS OF FINANCIAL CONDITION AND RESULTS
OF OPERATIONS

"Management's Discussion and Analysis" on pages 25 through 31 of the
Applied Materials 1997 Annual Report is incorporated herein by reference.

ITEM 7A. QUANTITATIVE AND QUALITATIVE DISCLOSURES ABOUT MARKET RISK

"Market Risk Disclosure" on page 31 of the Applied Materials 1997 Annual
Report is incorporated herein by reference.

ITEM 8. FINANCIAL STATEMENTS AND SUPPLEMENTARY DATA

The consolidated financial statements, together with the report thereon of
Price Waterhouse LLP, Independent Accountants, dated November 19, 1997 and
appearing on pages 32 through 50, and page 52 of the Applied Materials 1997
Annual Report, are incorporated herein by reference.

ITEM 9. CHANGES IN AND DISAGREEMENTS WITH ACCOUNTANTS ON ACCOUNTING AND
FINANCIAL DISCLOSURE

None.

PART III

Pursuant to Paragraph G(3) of the General Instructions to Form 10-K,
portions of the information required by Part III of Form 10-K are incorporated
by reference from the Company's Proxy Statement to be filed with the Commission
in connection with the 1998 Annual Meeting of Stockholders ("the Proxy
Statement").

ITEM 10. DIRECTORS AND EXECUTIVE OFFICERS OF THE REGISTRANT

(a) Information concerning directors of the Company appears in the
Company's Proxy Statement, under Item 1 -- "Election of Directors." This portion
of the Proxy Statement is incorporated herein by reference.

(b) For information with respect to Executive Officers, see Part I of this
Form 10-K.

ITEM 11. EXECUTIVE COMPENSATION

Information concerning executive compensation appears in the Company's
Proxy Statement, under Item 1 -- "Election of Directors," and is incorporated
herein by reference.

ITEM 12. SECURITY OWNERSHIP OF CERTAIN BENEFICIAL OWNERS AND MANAGEMENT

Information concerning the security ownership of certain beneficial owners
and management appears in the Company's Proxy Statement, under Item
1 -- "Election of Directors," and is incorporated herein by reference.

ITEM 13. CERTAIN RELATIONSHIPS AND RELATED TRANSACTIONS

Information concerning certain relationships and related transactions
appears in the Company's Proxy Statement, under Item 1 -- "Election of
Directors," and is incorporated herein by reference.

12
13

PART IV

ITEM 14: EXHIBITS, FINANCIAL STATEMENT SCHEDULES, AND REPORTS ON FORM 8-K

(A) 1. FINANCIAL STATEMENTS

The consolidated financial statements listed in the accompanying index to
financial statements and financial statement schedule are filed or
incorporated by reference as part of this annual report on Form 10-K.

2. FINANCIAL STATEMENT SCHEDULE

The financial statement schedule listed in the accompanying index to
financial statements and financial statement schedule is filed as part of
this annual report on Form 10-K.

3. EXHIBITS

The exhibits listed in the accompanying index to exhibits are filed or
incorporated by reference as part of this annual report on Form 10-K.

(B) Report on Form 8-K was filed on August 12, 1997. The report contains the
Company's financial statements for the three and nine months ended July 27,
1997, as attached to its press release dated August 12, 1997.

INDEX TO FINANCIAL STATEMENTS
AND FINANCIAL STATEMENT SCHEDULE
(ITEM 14(A))



ANNUAL
REPORT
PAGE NUMBER
-------------

(1) Financial Statements
Consolidated Statements of Operations for the Fiscal Years Ended
October 26, 1997, October 27, 1996, and October 29, 1995.......... 32
Consolidated Balance Sheets at October 26, 1997 and October 27,
1996.............................................................. 33
Consolidated Statements of Cash Flows for the Fiscal Years Ended
October 26, 1997, October 27, 1996 and October 29, 1995........... 34
Notes to Consolidated Financial Statements.......................... 35 - 50
Report of Independent Accountants................................... 52




FORM 10-K
PAGE NUMBER
-------------

(2) Financial Statement Schedule
Report of Independent Accountants on Financial Statement Schedule... 18
Schedule II -- Valuation and Qualifying Accounts.................... 19


Schedules not listed above have been omitted because they are not required
or the information required to be set forth therein is included in the
Consolidated Financial Statements or Notes to Consolidated Financial Statements.

The consolidated financial statements listed in the above index and
included in the Company's Annual Report to Stockholders are hereby incorporated
by reference. With the exception of the pages listed in the above index and the
portion of such report referred to in items 5, 6, 7, 7a and 8 of this Form 10-K,
the 1997 Annual Report to Stockholders is not to be deemed filed as part of this
report.

13
14

INDEX TO EXHIBITS

These Exhibits are numbered in accordance with the Exhibit Table of Item
601 of Regulation S-K:



EXHIBIT NO. DESCRIPTION
- ----------- ----------------------------------------------------------------------------------

2.1 Agreement and Plan of Merger, by and among Applied Materials, Inc., Orion Corp. I,
and Opal, Inc. dated as of November 24, 1996, previously filed with the Company's
Annual Report on Form 10-K for the year ended October 27, 1996, and incorporated
herein by reference.
2.2 Stock Purchase Agreement dated as of November 24, 1996 by and among Applied
Materials, Inc., Orbot Instruments, Ltd. and the Stockholders of Orbot
Instruments, Ltd., previously filed with the Company's Annual Report on Form 10-K
for the year ended October 27, 1996, and incorporated herein by reference.
3(i) Certificate of Incorporation of Applied Materials, Inc., a Delaware corporation,
as amended to March 18, 1996, previously filed with the Company's Annual Report on
Form 10-K for the year ended October 27, 1996, and incorporated herein by
reference.
3(ii) Bylaws of Applied Materials, Inc., as amended to December 13, 1996, previously
filed with the Company's Annual Report on Form 10-K for the year ended October 27,
1996, and incorporated herein by reference.
4.1 Rights Agreement, dated as of June 14, 1989, between Applied Materials, Inc. and
Bank of America NT&SA, as Rights Agent, including Form of Rights Certificate and
Form of Summary of Rights to Purchase Common Stock, previously filed with the
Company's report on Form 8-K dated June 14, 1989, and incorporated herein by
reference.
4.2 Form of Indenture (including form of debt security) dated as of August 24, 1994
between Applied Materials, Inc. and Harris Trust Company of California, as
Trustee, previously filed with the Company's Form 8-K on August 17, 1994, and
incorporated herein by reference.
10.1 The 1976 Management Stock Option Plan, as amended to October 5, 1993, previously
filed with the Company's Form 10-K for fiscal year 1993, and incorporated herein
by reference.
10.2 Applied Materials, Inc., Supplemental Income Plan, as amended, including
Participation Agreements with James C. Morgan, Walter Benzing, and Robert Graham,
previously filed with the Company's Form 10-K for fiscal year 1981, and
incorporated herein by reference.
10.3 Amendment to Supplemental Income Plan, dated July 20, 1984, previously filed with
the Company's Form 10-K for fiscal year 1984, and incorporated herein by
reference.
10.4 The Applied Materials Employee Financial Assistance Plan, previously filed with
the Company's definitive Proxy Statement in connection with the Annual Meeting of
Shareholders held on March 5, 1981, and incorporated herein by reference.
10.5 The 1985 Stock Option Plan for Non-Employee Directors, previously filed with the
Company's Form 10-K for fiscal year 1985, and incorporated herein by reference.
10.6 Amendment 1 to the 1985 Stock Option Plan for Non-Employee Directors dated June
14, 1989, previously filed with the Company's Form 10-K for fiscal year 1989, and
incorporated herein by reference.
10.7 Applied Materials, Inc. Supplemental Income Plan as amended to December 15, 1988,
including the Participation Agreement with James C. Morgan, previously filed with
the Company's Form 10-K for fiscal year 1988, and incorporated herein by
reference.
10.8 License Agreement dated January 1, 1992 between the Company and Varian Associates,
Inc., previously filed with the Company's Form 10-K for fiscal year 1992, and
incorporated herein by reference.
10.9 Amendment dated December 9, 1992 to Applied Materials, Inc. Supplemental Income
Plan dated June 4, 1981 (as amended to December 15, 1988), previously filed with
the Company's Form 10-K for fiscal year 1993, and incorporated herein by
reference.


14
15



EXHIBIT NO. DESCRIPTION
- ----------- ----------------------------------------------------------------------------------

10.10 The Applied Materials, Inc. Executive Deferred Compensation Plan dated July 1,
1993 and as amended on September 2, 1993, previously filed with the Company's Form
10-Q for the quarter ended August 1, 1993, and incorporated herein by reference.
10.11 Joint Venture Agreement between Applied Materials, Inc. and Komatsu, Ltd. dated
September 14, 1993 and exhibits thereto, previously filed with the Company's Form
10-K for fiscal year 1993, and incorporated herein by reference. (Confidential
treatment has been requested for certain portions of the agreement.)
10.12 $125,000,000 Credit Agreement dated as of September 8, 1994 between Applied
Materials and a group of seven banks, previously filed with the Company's Form
10-K for fiscal year 1994, and incorporated herein by reference.
10.13 Amendment No. 2 to Applied Materials, Inc. 1985 Stock Option Plan for Non-Employee
Directors, dated September 10, 1992, previously filed with the Company's Form 10-K
for fiscal year 1993, and incorporated herein by reference.
10.14 Amendment No. 3 to Applied Materials, Inc. 1985 Stock Option Plan for Non-Employee
Directors, dated October 5, 1993, previously filed with the Company's Form 10-K
for fiscal year 1993, and incorporated herein by reference.
10.15 Amendment No. 2 to the Applied Materials, Inc. Executive Deferred Compensation
Plan, dated May 9, 1994, previously filed with the Company's Form 10-Q for the
quarter ended May 1, 1994, and incorporated herein by reference.
10.16 Amendment No. 4 to Applied Materials, Inc. 1985 Stock Option Plan for Non-Employee
Directors, dated December 8, 1993, previously filed with the Company's Form 10-Q
for the quarter ended May 1, 1994, and incorporated herein by reference.
10.17 Applied Komatsu Technology, Inc. 1994 Executive Incentive Stock Purchase Plan,
together with forms of Promissory Note, 1994 Executive Incentive Stock Purchase
Agreement, and Loan and Security Agreement, previously filed with the Company's
Form 10-Q for the quarter ended July 31, 1994, and incorporated herein by
reference.
10.18 The Applied Materials, Inc. 1995 Equity Incentive Plan, dated April 5, 1995,
previously filed with the Company's Form 10-Q for the quarter ended April 30,
1995, and incorporated herein by reference.
10.19 The Applied Materials, Inc. Senior Executive Bonus Plan, dated September 23, 1994,
previously filed with the Company's Form 10-Q for the quarter ended April 30,
1995, and incorporated herein by reference.
10.20 The Applied Materials, Inc. Executive Deferred Compensation Plan, as amended and
restated on April 1, 1995, previously filed with the Company's Form 10-Q for the
quarter ended April 30, 1995, and incorporated herein by reference.
10.21 Applied Materials, Inc. Medium-Term Notes, Series A Distribution Agreement, dated
August 24, 1995, and incorporated herein by reference.
10.22 Amendment No. 1 to Credit Agreement, dated February 12, 1996, previously filed
with the Company's Form 10-K for fiscal year 1996, and incorporated herein by
reference.
10.23 Amended and Restated Credit Agreement, dated April 4, 1997 among Applied
Materials, Inc., the Banks party hereto, and Morgan Guaranty Trust Company of New
York, previously filed with the Company's Form 10-Q for the quarter ended April
27, 1997, and incorporated herein by reference.
10.24 Amendment No. 1 to Amended and Restated Credit Agreement, dated May 7, 1997,
previously filed with the Company's Form 10-Q for the quarter ended April 27,
1997, and incorporated herein by reference.


15
16



EXHIBIT NO. DESCRIPTION
- ----------- ----------------------------------------------------------------------------------

10.25 Resolution pertaining to the Amendment of the Applied Materials, Inc. 1995 Equity
Incentive Plan, adopted by the Stock Option and Compensation Committee of the
Board of Directors of Applied Materials on December 12, 1996, previously filed
with the Company's Form 10-Q for the quarter ended April 27, 1997, and
incorporated herein by reference.
10.26 Participation Agreement dated as of April 30, 1997 among Applied Materials, Inc.
(as Lessee and Construction Agent), Credit Suisse Leasing 92A, L.P., (as Lessor
and Borrower), Greenwich funding Corporation (as CP Lender), The Persons Named on
Schedule I (as Eurodollar Lenders) and Credit Suisse First Boston (acting through
its New York Branch, as Agent), previously filed with the Company's Form 10-Q for
the quarter ended April 27, 1997, and incorporated herein by reference.
10.27 Appendix 1 to Participation Agreement, Master Lease Agreement and Loan Agreement,
dated as of April 30, 1997 (Definitions and Interpretation) for Applied Materials,
Inc., previously filed with the Company's Form 10-Q for the quarter ended April
27, 1997, and incorporated herein by reference.
10.28 Loan Agreement dated as of April 30, 1997 among Credit Suisse Leasing 92A, L.P.
(as Borrower), Greenwich Funding Corporation (as CP Lender), The Persons Named on
Schedule I (as Eurodollar Lenders) and Credit Suisse First Boston (acting through
its New York Branch, as Agent) for Revolving Commercial Paper, Eurodollar Credit
and Base Rate Program, previously filed with the Company's Form 10-Q for the
quarter ended April 27, 1997, and incorporated herein by reference.
10.29 Real Estate and Equipment Facility Master Lease dated as of April 30, 1997 between
Credit Suisse Leasing 92A, L.P. (as Lessor), and Applied Materials, Inc. (as
Lessee), previously filed with the Company's Form 10-Q for the quarter ended April
27, 1997, and incorporated herein by reference.
10.30 Underwriting Agreement between Applied Materials, Inc. and Morgan Stanley & Co.
Incorporated dated October 9, 1997, previously filed with the Company's Form S-3
dated October 9, 1997, and incorporated herein by reference.
10.31 Prospectus Supplement for Applied Materials' $400 million Senior Notes dated
October 9, 1997, previously filed with the Company's Form S-3 dated October 9,
1997, and incorporated herein by reference.
12.1 Ratio of Earnings to Fixed Charges.
13 Applied Materials 1997 Annual Report for the fiscal year ended October 26, 1997
(to the extent expressly incorporated by reference).
21 Subsidiaries of Applied Materials, Inc.
23 Consent of Independent Accountants.
24 Power of Attorney.
27 Financial Data Schedule: filed electronically.


16
17

SIGNATURES

Pursuant to the requirements of Section 13 or 15(d) of the Securities
Exchange Act of 1934, the registrant has duly caused this report to be signed on
its behalf by the undersigned, thereunto duly authorized.

APPLIED MATERIALS, INC.

By /s/ JAMES C. MORGAN

------------------------------------
James C. Morgan
Chairman of the Board and
Chief Executive Officer
Dated: January 21, 1998

Pursuant to the requirements of the Securities Exchange Act of 1934, this
report has been signed below by the following persons on behalf of the
registrant and in the capacities and on the dates indicated.



SIGNATURE TITLE DATE
- ------------------------------------------ -------------------------------- -----------------


/s/ JAMES C. MORGAN Chairman of the Board and January 21, 1998
- ------------------------------------------ Chief Executive Officer
James C. Morgan

/s/ JOSEPH R. BRONSON Senior Vice President, January 21, 1998
- ------------------------------------------ Chief Financial Officer and
Joseph R. Bronson Chief Administrative Officer
(Principal Financial Officer)

/s/ MICHAEL K. O'FARRELL Vice President and Corporate January 21, 1998
- ------------------------------------------ Controller (Principal Accounting
Michael K. O'Farrell Officer)

Directors:
James C. Morgan Director
Dan Maydan* Director
Michael H. Armacost* Director
Deborah A. Coleman* Director
Herbert M. Dwight, Jr.* Director
Philip V. Gerdine* Director
Tsuyoshi Kawanishi* Director
Paul R. Low* Director
Alfred J. Stein* Director

* By /s/ JAMES C. MORGAN January 21, 1998
- ------------------------------------------
James C. Morgan, Attorney-in-Fact**


**By authority of powers of attorney filed herewith.

17
18

REPORT OF INDEPENDENT ACCOUNTANTS ON
FINANCIAL STATEMENT SCHEDULE

To the Board of Directors of Applied Materials, Inc.

Our audits of the consolidated financial statements referred to in our
report dated November 19, 1997 appearing on page 52 of the 1997 Annual Report to
Stockholders of Applied Materials, Inc. (which report and consolidated financial
statements are incorporated by reference in this Annual Report on Form 10-K)
also included an audit of the Financial Statement Schedule listed in Item 14(a)
of this Form 10-K. In our opinion, this Financial Statement Schedule presents
fairly, in all material respects, the information set forth therein when read in
conjunction with the related consolidated financial statements.

/s/ PRICE WATERHOUSE LLP

--------------------------------------
Price Waterhouse LLP

San Jose, California
November 19, 1997

18
19

SCHEDULE II

VALUATION AND QUALIFYING ACCOUNTS

ALLOWANCE FOR DOUBTFUL ACCOUNTS
(IN THOUSANDS)



BALANCE AT ADDITIONS- DEDUCTIONS- BALANCE
BEGINNING OF YEAR CHARGED TO INCOME RECOVERIES AT END OF YEAR
----------------- ----------------- ----------- --------------

As of:
October 26, 1997....... $ 4,169 $ 2,433 $(1,024) $5,578
October 27, 1996....... $ 3,017 $ 1,548 $ (396) $4,169
October 29, 1995....... $ 1,089 $ 2,138 $ (210) $3,017


19