Back to GetFilings.com




1

===============================================================================

SECURITIES AND EXCHANGE COMMISSION
Washington, D.C. 20549

FORM 10-K

(Mark One)
/x/ ANNUAL REPORT PURSUANT TO SECTION 13 OR 15(d) OF THE SECURITIES EXCHANGE
ACT OF 1934
[FEE REQUIRED]
FOR THE FISCAL YEAR ENDED JUNE 30, 1995

OR

/ / TRANSITION REPORT PURSUANT TO SECTION 13 OR 15(d) OF THE SECURITIES
EXCHANGE ACT OF 1934
[NO FEE REQUIRED]
FOR THE TRANSITION PERIOD FROM TO COMMISSION FILE NO. 0-9992
--------- --------

KLA INSTRUMENTS CORPORATION
(EXACT NAME OF REGISTRANT AS SPECIFIED IN ITS CHARTER)

DELAWARE 04-2564110
(STATE OR OTHER JURISDICTION OF (I.R.S. EMPLOYER
INCORPORATION OR ORGANIZATION) IDENTIFICATION NO.)

160 RIO ROBLES 95134
SAN JOSE, CALIFORNIA (ZIP CODE)
(ADDRESS OF PRINCIPAL EXECUTIVE OFFICES)

REGISTRANT'S TELEPHONE NUMBER, INCLUDING AREA CODE: (408) 468-4200

SECURITIES REGISTERED PURSUANT TO SECTION 12(b) OF THE ACT:

TITLE OF EACH CLASS NAME OF EACH EXCHANGE ON WHICH REGISTERED
------------------- -----------------------------------------
NONE NONE

SECURITIES REGISTERED PURSUANT TO SECTION 12(g) OF THE ACT:

COMMON STOCK, $0.001 PAR VALUE
COMMON STOCK PURCHASE RIGHTS
(TITLE OF CLASS)

Indicate by check mark whether the Registrant: (1) has filed all reports
required to be filed by Section 13 or 15(d) of the Securities Exchange Act of
1934 during the preceding 12 months (or for such shorter period that the
Registrant was required to file such reports), and (2) has been subject to such
filing requirements for the past 90 days.

Yes x No
----- -----

Indicate by check mark if disclosure of delinquent filers pursuant to Item
405 of Regulation S-K is not contained herein, and will not be contained, to the
best of registrant's knowledge, in definitive proxy or information statements
incorporated by reference in Part III of this Form 10-K or any amendment to this
Form 10-K. / /

The aggregate market value of the voting stock held by non-affiliates of
the Registrant based upon the average bid and asked prices of the registrant's
stock, as of August 31, 1995, was $1,767,219,628. Shares of common stock held by
each officer and director and by each person or group who owns 5% or more of the
outstanding common stock have been excluded in that such persons or groups may
be deemed to be affiliates. This determination of affiliate status is not
necessarily a conclusive determination for other purposes.

The registrant had 25,136,065 shares of Common Stock outstanding as of
August 31, 1995.

===============================================================================
2

DOCUMENTS INCORPORATED BY REFERENCE

Portions of the Annual Report to Stockholders for the fiscal year ended
June 30, 1995 ("1995 Annual Report to Stockholders" ) are incorporated by
reference into Parts I, II and IV of this Report.

Portions of the Proxy Statement for the Annual Meeting of Stockholders
("Proxy Statement" ) to be held on November 15, 1995, and to be filed pursuant
to Regulation 14A within 120 days after registrant's fiscal year ended June 30,
1995, are incorporated by reference into Part III of this Report.


PART I

Item 1. DESCRIPTION OF BUSINESS

THE COMPANY AND ITS PRODUCTS

The Company was incorporated under the laws of the State of Delaware in
July 1975. The Company's headquarters are located at 160 Rio Robles, San Jose,
California, 95134, telephone (408) 468-4200. Unless the text requires otherwise,
the "Company" or "KLA" refers to KLA Instruments Corporation and its
subsidiaries.

KLA is the leader in the design, manufacture, marketing and service of
yield management and process monitoring systems for the semiconductor industry.
KLA believes that it is the world's largest supplier to the wafer and reticle
inspection and optical metrology equipment markets. KLA's systems are used to
analyze product and process quality at critical steps in the manufacture of
integrated circuits and to provide feedback so that fabrication problems can be
identified, addressed and contained. This understanding of defect sources and
how to contain them enables semiconductor manufacturers to increase yields.
Quickly attaining and then maintaining high yields is one of the most important
determinants of profitability in the semiconductor industry. The Company
believes that its customers typically experience rapid paybacks on their
investments in the Company's systems. The Company sells to virtually all of the
world's semiconductor manufacturers and has achieved very high market shares in
its principal businesses.

The Company's technological strength has enabled it to develop and
introduce major new product families in the past three years for the following
three business units: WISARD, which addresses semiconductor wafer inspection;
RAPID, which addresses reticle inspection; and Metrology, which addresses
overlay registration and linewidth measurement. The Company believes that its
WISARD and RAPID product families incorporate proprietary technologies which
provide greater sensitivity to defects than any competing systems.


YIELD MANAGEMENT

Maximizing yields, or the number of good die per wafer, is a key goal of
modern semiconductor manufacturing. Higher yields increase the revenue a
manufacturer can obtain for each semiconductor wafer processed. As geometry
linewidths decrease, yields become more sensitive to the size and density of
defects. Semiconductor manufacturers use yield management and process monitoring
systems to improve yields by identifying defects, by analyzing them to determine
process problems, and, after corrective action has been taken, by monitoring
subsequent results to ensure that the problem has been contained. Monitoring and
analysis often takes place at various points in the fabrication process as
wafers move through a production cycle consisting of hundreds of separate
process steps.

Semiconductor factories are increasingly expensive to build and equip.
Yield management and process monitoring systems, which typically represent a
small percentage of the total investment required to build and equip a
fabrication facility, enable integrated circuit manufacturers to leverage these
expensive facilities and improve their returns on investment.

The most significant opportunities for yield improvement generally occur
when production is started at new factories and when new products are first
built. Equipment that helps a manufacturer quickly increase new product yields
enables the manufacturer to offer these new products in volume at a time when
they are likely to generate the greatest profits.



2

3

The following are some of the methods used to manage yield, all of which
require the capture and analysis of data gathered through many measurements:

- - Engineering analysis is performed off the manufacturing line to identify
and analyze defect sources. Engineering analysis equipment operates with
very high sensitivity to enable comprehensive analysis of wafers. Because
they operate off-line, engineering analysis systems do not require high
speeds of operation.

- - In-line monitoring is used to review the status of circuits during
production steps. Information generated is used to determine whether the
fabrication process steps are within required tolerances and to make any
necessary process adjustments in real-time before wafer lots move to
subsequent process stations. Because the information is needed quickly to
be of greatest value, in-line monitoring requires both high throughput and
high sensitivity.

- - Pass/fail tests are used at several steps in the manufacturing process to
evaluate products. For example, a pass/fail test is used to determine
whether reticles used in photolithography are defect-free; electrical
pass/fail testing is performed at the end of the manufacturing process to
determine whether products meet performance specifications.


KLA STRATEGY

KLA is the premier supplier of yield management and process monitoring
systems to the semiconductor manufacturing industry. Key elements of KLA's
strategy are as follows:

- - Leadership in Yield Management. The Company believes that yield management
requires both the ability to identify defects and the ability to use defect
data (i) to recognize patterns which reveal process problems, and (ii) to
resolve and contain process flaws which are causing reduced yields. The
Company has developed yield management solutions that consist of
sophisticated defect detection sensors located at key steps in the
production process, as well as analysis stations with relational database
software, that enable isolation of defect sources, identification of
problem causes and implementation of corrective action.

The Company believes that its worldwide organization of more than 90
applications engineers provides an important competitive advantage. These
applications engineers serve as yield management consultants to the
Company's customers, assisting in applying KLA's systems to accelerate
yield improvement and achieve real-time process control.

- - Expansion of In-Line Monitoring Markets. Several years ago, the Company
recognized the industry's need for in-line monitoring to provide real-time
process management capability. Prior to the introduction of KLA's 2100
series, no supplier's products were capable of both the speed and the
sensitivity needed for in-line inspection for all defect types at critical
process steps. In-line inspection is a critical yield enhancement and cost
reduction technique because it allows defect detection in real-time rather
than waiting until after final test results become available to discover
problems that have a significant yield impact. In response, the Company
devoted substantial resources to developing systems with the throughput,
reliability and associated data analysis capabilities for in-process
inspection. During the past several years, customers' use of the Company's
wafer inspection systems began evolving from single system, off-line
engineering analysis applications to multiple systems directly monitoring
critical steps on advanced fabrication lines. Most of the Company's
customers are now adopting the KLA methodology of installing multiple
systems directly monitoring critical steps in the integrated circuit
manufacturing process. The Company believes that the market for in-line
monitoring systems is several times larger than its traditional market for
engineering analysis systems.

- - Development of In-Line Monitoring Market. KLA's strategy is to leverage its
technology leadership to develop new hardware and software yield management
tools. The Company has committed significant resources to internally
developing emerging yield management technologies. KLA has also acquired
technologies that it believes will be critical to enhancing its long-term
competitive position. In fiscal 1995, the Company enhanced its position in
the market for yield management and process monitoring systems by expanding
its product offerings to include yield management software through its
recently formed PRISM division, and electron beam ("E-Beam") metrology
applications through the December 1994 acquisition of Metrologix, Inc. The
Company's long-term strategy is to link information from its new and
existing products, as well as from measurement systems manufactured by
others, to form an integrated network of detection and analysis systems.



3

4

YIELD MANAGEMENT AND PROCESS MONITORING SYSTEMS

KLA's systems are developed to offer its customers integrated yield
management solutions. KLA offers inspection systems for key steps in the
semiconductor manufacturing process and analysis systems comprised of database
management hardware and software to translate raw inspection data into patterns
which reveal process problems. The Company's wafer inspection and metrology
systems are used for engineering analysis and in-line monitoring, and its
reticle inspection systems and wafer probers are used for pass/fail tests. The
Company's software productivity and analysis systems collect, store and analyze
data collected by test equipment manufactured by both the Company and others to
provide semiconductor manufacturers with an integrated yield management
application. The Company's principal business units are: Wafer Inspection
Systems (WISARD); Reticle Inspection Systems (RAPID); Metrology, including
Optical Metrology and E-Beam Metrology; Wafer Probing Systems (ATS); Software
Productivity and Analysis Systems (PRISM); and Scanning Electron Microscope
Inspection Systems (SEMSpec).

WISARD - WAFER INSPECTION SYSTEMS

KLA's WISARD business unit created the market for automated inspection of
semiconductor wafers with the introduction of the KLA 2000 series over ten years
ago. KLA continues to have a predominant market share with its current
generation of wafer inspection systems, the 2100 series.

KLA's 2100 series, combined with a dedicated defect data gathering and
analysis workstation, the KLA 2552, and an off-line Review Station, the KLA
2608, provides semiconductor manufacturers with a yield management system
sensitive enough for engineering analysis and fast enough for in-line monitoring
of the semiconductor manufacturing process. The 2100 series of inspection
systems offers an increase in inspection speed of up to 2,000 times over that of
KLA's original wafer inspection system. This marked increase in speed and
sensitivity allows customers to obtain very prompt feedback on process status by
placing wafer inspection systems on the production line.

The selection of the technology architecture for the 2100 series was made
to allow the base unit to support a family of products capable of performance
enhancements through upgrades of various subsystems. The first model, the KLA
2110, was introduced in 1991 with sufficient speed and sensitivity to enable
in-line inspection of repeating arrays typical in memory devices. KLA introduced
a new repeating array model in 1992, the KLA 2111, which operated at up to five
times the speed of the KLA 2110 and had improved sensitivity. In 1995, KLA
introduced the 2112 for repeating array with greater sensitivity and greater
maximum speed.

In 1992, KLA introduced the KLA 2130 which is capable of "all pattern"
inspection required for microprocessors and other logic devices as well as both
the logic and repeating array portions of memory devices. In late 1993, KLA
introduced the new 2131 model for all pattern inspection which operates at up to
twice the speed of the KLA 2130 and with higher sensitivity. In 1995, KLA
introduced the 2132 for all pattern inspection with higher sensitivity and
higher maximum speed. The Company believes that there are further opportunities
to expand the 2100 series and has several new models under development.

To manage defect data, KLA offers the KLA 2552 Analysis Station, a
multi-user work station using a relational database for storing defect
coordinates and digitized images. Defect analysis and image review operate
through a Windows(TM) -based interface. The KLA 2552 incorporates an open
architecture which consolidates data from inspection systems, review stations,
wafer sort electrical testers, host computers, and scanning electron microscopes
(SEMs). The data analysis software provides statistical process control reports,
defect source analysis, and automated correlation of in-line process defects to
bit failures. The graphical software combines both data and image to produce
wafer maps, trend charts, and video review. When coupled with an optional remote
terminal, the KLA 2552 permits process engineers in remote locations to link to
the database of defect records and images to perform further analyses or compare
data from different wafer fabrication facilities.

The KLA 2608 Review Station provides a platform for reviewing and
classifying defects detected on KLA and non-KLA wafer inspection systems. An
operator may append classification codes to the defect record, a record which
also includes wafer number, die coordinates, defect location, and defect size.


The average selling prices of KLA's 2100 series of wafer inspection systems
range from approximately $1 million to approximately $2.5 million.


4

5

RAPID-RETICLE INSPECTION SYSTEMS

RAPID, KLA's first business unit, created the market for automated
inspection of reticles and photomasks for the semiconductor manufacturing
industry over 17 years ago and continues to have a predominant market share. KLA
has delivered over 700 reticle and photomask inspection systems worldwide.

During photolithography, a stepper projects a circuit pattern from a
reticle onto a wafer. Error-free reticles are the first step in ensuring high
yields in the manufacturing process because defects in reticles can translate
into millions of ruined die.

In 1992, KLA introduced its new generation of reticle inspection systems,
the 300 series. The KLA 301 Reticle Inspection System and the KLA 30 Reference
Data Computer together form the KLA 331 Inspection System, which represents a
major advance in speed, sensitivity and flexibility. The KLA 331 offers the
highest inspection sensitivity available in the marketplace, which the Company
believes is vital to meet reticle inspection requirements for today's more
complex microprocessors and larger DRAMs. This dedicated image processor employs
a flexible system architecture which permits future upgrades and enhancements
through software, rather than hardware changes. Furthermore, the KLA 331's
optics include a rotating telescope turret to provide three sensitivities in one
system. The KLA 331 offers flexibility for users who need a versatile inspection
system to address the inspection needs of both the most demanding and the more
routine semiconductor manufacturing processes. Users may obtain higher
throughput by selecting lower sensitivity inspections.

The KLA 331 incorporates a reference database generator and data
preparation system which give full die-to-database functionality to the
inspection, permitting inspection against the ideal reticle pattern as specified
by the user's CAD program. The Company is continuing to develop enhancements to
the KLA 331 inspection system to improve performance, serviceability and
reliability.

The Company recently introduced a new reticle inspection product,
STARlight, which uses reflected and transmitted light detection techniques
simultaneously to identify reticle contaminants, including particles. STARlight
permits users to identify defects which previously had not been detectable. The
Company believes STARlight will be applied by mask manufacturers and
semiconductor manufacturers. STARlight is offered as an option on the KLA 331
inspection system and as a stand-alone unit.

During fiscal 1993 and 1994, delays in completing all features of the KLA
331 systems caused a decline in RAPID's business as many customers waited for
the new model. In fiscal 1995, system enhancements coupled with successful
customer demonstrations resulted in increased industry acceptance of the KLA
331.

The average selling prices of KLA's 331 inspection systems range from
approximately $1.7 million to approximately $3 million.

METROLOGY GROUP

Optical Metrology Business Unit. Lithography for sub-micron semiconductor
fabrication requires increasingly stringent overlay and critical dimension
tolerances. In particular, decreasing linewidths, larger die sizes, and
additional layers have made overlay mis-registration errors a crucial cause of
yield loss. To address these challenges, KLA offers the KLA 5000 series
metrology systems: the 5100 for overlay; and the 5105 for both overlay and
critical dimension measurement. KLA estimates that during its fiscal 1994 and
1995, it had the leading share in the worldwide market for overlay registration
systems.

The KLA 5000 series uses a patented coherence probe microscopy technology
which permits fast autofocus and precision critical dimension measurements.
Applying its expertise in digital image processing, KLA has developed
sophisticated measurement algorithms that are tolerant of process variations.
With coherence probe microscopy, the system scans the image-forming coherence
region through the wafer plane, only gathering information from in-focus
surfaces. As a result, measurements are more tolerant of process and substrate
reflectivity variations than those from ordinary optical systems.

The precision measurements from the KLA 5000 series identify the magnitude
and direction of overlay mis-registration errors arising from the stepping
process and from optical distortion inherent in the stepper lens. Based upon
these measurements, users can fine-tune the stepper program to compensate for
these errors, and improve process yield.


5

6

The disk drive manufacturing industry is an emerging market for KLA's
metrology systems. Disk drive manufacturers use a semiconductor photolithography
process to produce thin film heads. The Company's coherence probe technology is
particularly well-suited to handle the complex topography characteristics
encountered in the thin film head process. The Company believes that its
solution to these requirements has allowed it to achieve the major share of the
thin film head metrology market.

The average selling prices of KLA's metrology systems for the semiconductor
industry range from approximately $300,000 to approximately $550,000, and
systems for the disk drive industry range from approximately $500,000 to
approximately $900,000.

E-Beam Metrology Business Unit. KLA broadened its portfolio of metrology
products in December 1994 with the acquisition of Metrologix, Inc., a
manufacturer of advanced electron beam measurement equipment. With this
acquisition, KLA's E-Beam Metrology business gained an established position in
the CD SEM inspection market, a market which KLA believes is larger than the
optical overlay market, and one which it believes will grow as semiconductor
manufacturers continue to produce more complex semiconductor devices.

KLA's first generation E-Beam metrology system features high throughput and
automated setup. One major U.S. memory manufacturer and two major U.S.
microprocessor manufacturers have purchased multiple systems for use in both
production and research and development. KLA anticipates increasing its
expenditures for engineering and manufacturing to enhance the capabilities of
the E-Beam metrology system.

The average selling prices of KLA's E-Beam metrology systems range from
approximately $1.1 million to approximately $1.4 million.

ATS DIVISION-WAFER PROBING SYSTEMS

The ATS division sells and services a family of automated wafer probers and
accessories which position individual semiconductor devices still in wafer form
under electrical test probes. The probers work in conjunction with electronic
parametric and functional testers to perform fully automated tests of the
performance of completed die before the wafers are diced and packaged. The
electrical test procedure also identifies failed die, classifies die by
performance and generates a database of test results for use in process control.

KLA develops, manufactures and markets these products in cooperation with
Tokyo Electron, Limited ("TEL"), the leading distributor of semiconductor
equipment in Japan. KLA develops and manufactures the prober's image processing
electronics and optical subsystems. TEL manufactures the prober's mechanical
chassis and incorporates the KLA electronics and subsystems. The ATS division
sells the integrated prober systems in the United States and Europe with its own
control software and custom interfaces. TEL sells and services the integrated
prober systems in Japan and the rest of Asia.

The average selling prices of KLA's basic wafer prober systems range from
approximately $130,000 to approximately $600,000.

PRISM-SOFTWARE PRODUCTIVITY AND ANALYSIS SYSTEMS

The PRISM division was formed in April 1994 to address the market for
software products that can be utilized in semiconductor fabrication applications
for yield management and productivity improvement. The PRISM division is
developing and marketing two software product lines, Discovery and CIMA.
Discovery is an enterprise-wide yield management system that collects, stores
and correlates yield information from multiple data sources in a fabrication
facility. This product was the result of a cooperative development project with
Motorola. The Company released production versions of Discovery in early fiscal
1996. CIMA is a test floor automation product that was developed by the Company
and introduced in August 1994. CIMA collects test data from, and automates the
operation of, the wafer floor. CIMA is currently in production and is installed
in several modern fabrication facilities. PRISM has formed a client services
organization to provide system integration and consulting services to assist its
customers in the integration of its software products into the facility's
information systems.

The average selling prices of KLA's Discovery software range from
approximately $1 million to approximately $2 million. The average selling prices
of KLA's CIMA software range from approximately $10,000 to approximately
$40,000.


6

7

SEMSPEC-SCANNING ELECTRON MICROSCOPE INSPECTION SYSTEMS

As feature sizes of semiconductor circuits continue to decrease for leading
edge semiconductor products, the Company believes that conventional optical
technologies ultimately will begin to reach physical limits imposed by the
wavelength of light and fail to provide the necessary inspection resolution.
Working closely with those customers with the most advanced inspection
requirements, KLA has developed the world's only fully automatic electron beam
inspection systems. These systems, comprised of the world's fastest scanning
electron-optical column and a high speed image computer, are used for wafer and
x-ray mask inspection. The development of these systems was funded in part by
customer-sponsored research and development programs. KLA has sold four of these
systems to customers. KLA expects the market for these inspection systems to
emerge slowly.

The average selling prices of KLA's SEMSpec systems range from
approximately $4 million to approximately $5 million.

CUSTOMERS AND APPLICATIONS

The Company believes that it is one of the few suppliers which sells its
systems to virtually all of the world's semiconductor manufacturers. During
fiscal 1993, Motorola accounted for approximately 11% of the Company's revenues.
In fiscal 1994 and 1995, no single customer accounted for more than 10% of the
Company's revenues.

SALES, SERVICE AND MARKETING

The Company sells products through a combination of direct sales and
distribution channels. The Company believes that the size and location of its
field sales, service and applications engineering organization represents a
significant competitive advantage in its served markets. In the United States,
Europe, and Korea the Company has a direct sales force located in major
geographical markets. The Company's sales, service and applications facilities
throughout the world employ over 400 sales, service and applications engineers.

In Japan, the Company sells systems for the semiconductor market through
TEL. TEL has been the Company's distributor to the Japanese semiconductor market
since 1978. The sales effort in Japan is supported by KLA Japan, which provides
marketing, applications support, technical support and service to Japanese
customers. Over the last three years, the Company significantly increased its
customer service organization in Japan in order to assume service and support
responsibilities from TEL. KLA Japan has over 130 local employees in its
Yokohama headquarters and six regional service centers.

In Singapore and Taiwan, the Company sells its systems through local sales
representatives. Post-sales support is handled by the Company's local
organizations.

KLA maintains an export compliance program that fully meets the
requirements of the U.S. Department of Commerce. KLA has never been denied
approval to ship against a purchase order.

For information regarding the Company's revenues from foreign operations
for the Company's last three fiscal years, see Note 10 on page 24 of the 1995
Annual Report to Stockholders, incorporated herein by reference.


TECHNOLOGY

KLA's inspection and metrology systems precisely capture trillions of
features on wafers and reticles that are as small as 10 millionths of an inch on
a side and analyze each of these features for possible defects through the use
of the following technologies:

Image Acquisition. KLA's systems acquire images of sub-micron features on
wafers and reticles. The quality and brightness of the images greatly influence
the speed and sensitivity of the final inspection system. KLA has developed a
wide range of optical imaging systems, such as laser scanners, interference
microscope systems, and conventional white light and deep UV optical systems. To
satisfy the future sensitivity requirements of advanced lithography, KLA has
already developed an electron beam system which incorporates the world's fastest
scanning electron-optical column.


7

8

Image Conversion. The Company's equipment converts the photon or electron
image to an electronic digital format. KLA has been a pioneer in the use of
time-delay-integration sensors that convert as many as 100 million pixels
(picture elements) to 256-level gray scale images each second. KLA also utilizes
other image conversion technologies such as avalanche diode detectors, photo
multiplier systems and fixed frame pickups.

Precision Mechanics. In the most common configuration of an inspection
system, the reticle or the wafer is moved at a constant speed through the field
of the imaging system. Since areas of interest are as small as 5 millionths of
an inch, and vibrations in the scanning system of one-tenth of the area of
interest can degrade system performance, the mechanical stage must be extremely
smooth and precise. To address these requirements, KLA has nine years experience
in the design and manufacture of air-bearing linear drive stages.

Proprietary Algorithms. To perform the inspection or measurement task, the
Company's equipment examines the properties of the digitized images using a set
of logical steps (algorithms) which measure the desired image property. KLA's
engineers develop sets of algorithms that are specifically tailored to obtain
optimum performance for its wafer, reticle and metrology systems. These
algorithms are largely responsible for the state-of-the-art performance of KLA's
systems.

Image Computers. The combination of proprietary algorithms and special
purpose computers allows KLA's equipment to have a high performance to cost
ratio. While general purpose computers are capable of executing KLA's
algorithms, very few computer architectures can sustain the computing speed that
is required in KLA's systems. To address this requirement, KLA develops and
builds special purpose image computers designed to execute its algorithms.

Database Analysis. Many of the inspections that KLA reticle inspection
systems perform require a digital image representation of the ideal pattern
obtained from the data used to manufacture the reticle. This capability allows
inspection systems to compare the actual circuit with its design specifications.
KLA has been developing database systems for over 15 years to satisfy this
objective. Its present generation of special purpose database computers is
capable of generating simulated images at the same high speeds at which KLA's
image conversion systems generate the digital image from the actual reticle.

Statistical Process Control. Integrated circuit yield management and
process monitoring systems generate hundreds of thousands of data items each
day. To enhance the utility of these data, KLA has a team of software engineers
who build systems containing statistical process control software to simplify
data and present these data in a useful manner. KLA is continuing to work on new
software to enhance its statistical process control systems.


RESEARCH AND DEVELOPMENT

The market for yield management and process monitoring systems is
characterized by rapid technological development and product innovation. The
Company believes that continued and timely development of new products and
enhancements to existing products are necessary to maintain its competitive
position. Accordingly, the Company devotes a significant portion of its
personnel and financial resources to research and development programs and seeks
to maintain close relationships with customers to remain responsive to their
needs. In order to meet continuing developments in the semiconductor industry
and to broaden the applications for its image processing technology, the Company
is committed to significant engineering efforts for product improvement and new
product development. Approximately 20% of the Company's workforce is engaged in
engineering, research and development. For information regarding the Company's
research and development expense during the last three fiscal years, see Notes 1
and 8 on pages 21 and 24, respectively, of the 1995 Annual Report to
Stockholders incorporated herein by reference.

KLA typically receives some external funding from customers, from industry
groups, and from government sources to augment its engineering, research and
development efforts. In addition, KLA capitalizes some software development
costs. Although the timing and the level of these external funds cannot be
predicted, the level of such funding and capitalization has been approximately
4%, 2% and 1% of sales for fiscal 1993, 1994 and 1995, respectively. The Company
reports engineering, research and development expense net of this funding and
capitalization. Thus, recorded amounts for engineering, research and development
expense were 10%, 9% and 10% of sales in fiscal 1993, 1994 and 1995,
respectively.



8

9

MANUFACTURING

The Company's principal manufacturing activities take place in San Jose,
California; Bevaix, Switzerland; and Migdal Ha'Emek, Israel; and consist
primarily of manufacturing, assembling and testing components and subassemblies
which are acquired from third party vendors and then integrated into the
Company's finished products. In June 1995, the Company entered into a lease
agreement for an additional manufacturing facility being constructed at its San
Jose campus site. In August 1995, the Company leased two additional facilities
adjacent to its San Jose campus site. The Company is also cross-training
personnel, so that it can respond to changes in product mix by reallocating
personnel in addition to hiring.

The Company has been working with key vendors to improve inventory
management. Volume purchase agreements and just-in-time delivery schedules have
reduced both inventory levels and costs. The Company's manufacturing engineers,
in conjunction with key vendors, are improving the manufacturability and
reliability of the new wafer and reticle inspection systems.

Many of the components and subassemblies are standard products, although
certain items are made to Company specifications. Certain of the components and
subassemblies included in the Company's systems are obtained from a single
source or a limited group of suppliers. Those parts subject to single or limited
source supply are routinely monitored by management and the Company endeavors to
ensure that adequate supplies are available to maintain manufacturing schedules,
should supply for any part be interrupted. Although the Company seeks to reduce
its dependence on sole and limited source suppliers, in some cases the partial
or complete loss of certain of these sources could have at least a temporary
adverse effect on the Company's results of operations and damage customer
relationships.

COMPETITION

The market for yield management and process control systems is highly
competitive. In each of the markets it serves, the Company faces competition
from established and potential competitors, some of which may have greater
financial, engineering, manufacturing and marketing resources than the Company.
Significant competitive factors in the market for yield management and process
control systems include system performance, ease of use, reliability, installed
base and technical service and support.

The Company believes that, while price and delivery are important
competitive factors, the customers' overriding requirement is for systems which
easily and effectively incorporate automated, highly accurate inspection
capabilities into their existing manufacturing processes, thereby enhancing
productivity. The Company's yield management and process control systems for the
semiconductor industry are generally higher priced than those of its present
competitors and are intended to compete based upon performance and technical
capabilities. These systems also compete with less expensive, more
labor-intensive manual inspection devices.

The Company's wafer and reticle inspection systems have a predominant share
of their respective markets. The Company is the leading provider of overlay
registration systems. The Company believes it is the second largest supplier of
wafer prober systems in the U.S. and Europe.

Many of the Company's competitors are investing in the development of new
products aimed at applications currently served by the Company. The Company's
competitors can be expected to continue to improve the design and performance of
their products in each product area and to introduce new products with
competitive price/performance characteristics. Competitive pressures often
necessitate price reductions which can adversely affect operating results.
Although the Company believes that it has certain technical and other advantages
over its competitors, maintaining such advantages will require a continued high
level of investment by the Company in research and development and sales and
marketing. There can be no assurance that the Company will have sufficient
resources to continue to make such investments or that the Company will be able
to make the technological advances necessary to maintain these competitive
advantages.

The yield management and process control industry is characterized by
rapidly changing technology and a high rate of technological obsolescence.
Development of new technologies that have price/performance characteristics
superior to the Company's technologies could adversely affect the Company's
results of operations. In order to remain competitive, the Company believes that
it will be necessary to expend substantial effort on continuing product
improvement and new product development. There can be no assurance that the
Company will be able to develop and market new products successfully or that the
products introduced by others will not render the Company's products or
technologies non-competitive or obsolete.



9

10

PATENTS AND OTHER PROPRIETARY RIGHTS

The Company believes that, due to the rapid pace of innovation within the
yield management and process control systems industry, its protection of patent
and other intellectual property rights is less important than factors such as
its technological expertise, continuing development of new systems, market
penetration and installed base and the ability to provide comprehensive support
and service to customers.

The Company protects its proprietary technology through a variety of
intellectual property laws including patents, copyrights and trade secrets. The
Company's source code is protected as a trade secret and as an unpublished
copyright work. The Company has a number of United States and foreign patents
and patent applications. The Company's effort to protect its intellectual
property rights through trade secret and copyright protection may be impaired if
third parties are able to copy or otherwise obtain and use the Company's
technology without authorization. Effective intellectual property protection may
be unavailable or limited in certain foreign countries. In addition, the
semiconductor industry is characterized by frequent litigation regarding patent
and other intellectual property rights. No assurance can be given that any
patent held by the Company will provide sufficient protection.

BACKLOG

Backlog orders for systems were $250 million as of June 30, 1995, with 100%
shippable in one year, as compared with $125 million as of June 30, 1994, with
99% shippable in one year. The Company generally ships systems within six months
after receipt of a customer's purchase order.

EMPLOYEES

As of August 31, 1995, KLA employed a total of approximately 1,654 persons.
None of KLA's employees are represented by a labor union. KLA has experienced no
work stoppages and believes that its employee relations are excellent.

Competition in the recruiting of personnel in the semiconductor and
semiconductor equipment industry is intense. KLA believes that its future
success will depend in part on its continued ability to hire and retain
qualified management, marketing and technical employees.

Item 2. PROPERTIES

KLA owns a corporate facility which houses engineering, manufacturing and
administrative functions in San Jose, California, occupying approximately
232,000 square feet. The Company purchased this facility in 1990 at a total cost
of approximately $30 million, including improvements. The Company leases
additional office space for manufacturing, engineering, sales and service
activities, including seven locations in the U.S., six in Japan, four in Europe,
and one each in Malaysia, Korea, Taiwan and Israel. The Company entered into two
leases, for two year terms commencing August 10, 1994 and November 1, 1994,
respectively, for two buildings adjacent to its campus facility, consisting of
an aggregate of approximately 73,000 square feet.

In June 1995, the Company entered into an agreement to lease a building to
be constructed on land owned by the Company in San Jose, California. The lessor
of the building, which ground-leased the property from the Company, has
committed to fund up to $12.5 million (subject to reductions based on certain
conditions in the lease) for the construction of the building, with the portion
of the committed amount actually utilized to be determined by the Company. In
August 1995, the Company entered into a similar lease agreement to occupy two
buildings in San Jose, California. The lessor has committed to fund up to $15.4
million for the acquisition of the land and buildings and for the completion of
improvements to the buildings. Rent obligations for the three buildings will
commence upon the Company's occupation of the buildings in fiscal year 1996. The
Company may, at its option, purchase the properties during the term of the
leases at approximately the amount expended by the lessor to acquire, construct
and improve the properties. If the Company does not purchase the properties at
the end of the leases, the Company will guarantee to the lessor 85% of the
residual values of the properties as determined at the inception of each lease.
In addition, the lease agreements require the Company to maintain, among other
things, minimum quick ratio, tangible net worth and profitability.



10

11

Item 3. LEGAL PROCEEDINGS

In June 1990, the Company filed a lawsuit in the U.S. Federal District
Court in San Jose, California, against Orbot Systems Ltd. and Orbot, Inc., now
Orbotech ("Orbot"), for patent infringement. Orbot has since counter-sued for
interference with normal business. If the Company were to lose, Orbot would be
allowed to continue to sell products using its present illuminator. The Company
believes that the outcome of this suit will most likely be determined based upon
the validity of KLA's patent, U.S. Patent No. 4,877,326. Orbot has moved for a
summary judgment dismissal of the case. The matter is being heard by a special
master, with no trial date scheduled. Management believes the results of this
lawsuit will not have a significant adverse effect on the Company.

In November 1993, KLA filed suit, in U.S. District Court in San Jose, CA,
against Orbot Instruments Inc. for infringing upon a KLA patent on
die-to-database inspection. Orbot Instruments has moved for a summary judgment
dismissal of the case. The matter is under consideration by the judge. The trial
is scheduled for the Spring of 1996.

The Company is also the defendant in three suits resulting from the
discontinuance of the printed circuit inspection business. In one case the trial
court denied the plaintiff's demand for damages. This case is under appeal. One
case is in the discovery phase, while the other case was dismissed by the judge
with leave to refile. Management does not believe that these suits will have a
significant adverse effect on the Company.

Beginning in August 1992, Jerome Lemelson, an independent inventor, filed
suit in U.S. District Court in Reno, NV, against the three U.S. automobile
companies, Motorola and several Mitsubishi subsidiaries for the infringement of
Lemelson's patents on machine vision. Recently, Mitsubishi settled with
Lemelson. On June 16, 1995 the Magistrate Judge in Reno handed down a ruling
which recommends that all of Lemelson's patents-in-suit be declared
unenforceable due to the inventor's delay in the patent prosecution.

Item 4. SUBMISSION OF MATTERS TO A VOTE OF SECURITY HOLDERS

Not Applicable.


PART II

Item 5. MARKET FOR THE REGISTRANT'S COMMON STOCK AND RELATED STOCKHOLDER
MATTERS

"Common Stock" on page 26 of the 1995 Annual Report to Stockholders is
incorporated herein by reference.

Item 6. SELECTED FINANCIAL DATA

"Selected Financial Data" on page 16 of the 1995 Annual Report to
Stockholders is incorporated herein by reference.

Item 7. MANAGEMENT'S DISCUSSION AND ANALYSIS OF RESULTS OF OPERATIONS AND
FINANCIAL CONDITION

"Management's Financial Commentary" on pages 14 and 15 of the 1995
Annual Report to Stockholders is incorporated herein by reference.

Item 8. FINANCIAL STATEMENTS AND SUPPLEMENTARY DATA

The consolidated financial statements, together with the report thereon
of Price Waterhouse LLP dated July 26, 1995, appearing on pages 16 through 26 of
the accompanying 1995 Annual Report to Stockholders are incorporated herein by
reference in this Form 10-K Annual Report. With the exception of the
aforementioned information and the information incorporated in Items 5, 6, 7 and
8, the 1995 Annual Report to Stockholders is not to be deemed filed as part of
this Form 10-K Annual Report.

Item 9. DISAGREEMENTS ON ACCOUNTING AND FINANCIAL DISCLOSURE

Not applicable.


11

12

PART III

Item 10. DIRECTORS AND EXECUTIVE OFFICERS OF THE REGISTRANT

DIRECTORS AND EXECUTIVE OFFICERS OF THE REGISTRANT
Set forth below are the names of the present executive officers of the
Company, their ages and positions held with the Company.



Name Age Position
- ---- --- --------

Kenneth Levy 52 Chairman of the Board of Directors and
Chief Executive Officer
Kenneth L. Schroeder 49 President, Chief Operating Officer and Director
Robert J. Boehlke 54 Vice President of Finance and Administration,
Chief Financial Officer and Assistant Secretary
Frank Brienzo 44 Vice President, Asia Operations
Virginia J. DeMars 53 Vice President, Human Resources
Gary E. Dickerson 37 Vice President, Wafer Inspection
Samuel Harrell 55 Senior Vice President, Strategic Business Development
Michael D. McCarver 49 Vice President, Corporate Sales
Neil Richardson 40 Vice President, Metrology
Magnus O. W. Ryde 39 Vice President, U.S. and European Sales Organizations
Arthur P. Schnitzer 52 Group Vice President, Wafer and Reticle Inspection
Christopher Stoddart 39 Treasurer
Bin-Ming Ben Tsai 37 Vice President, Chief Technical Officer
William Turner 39 Vice President, Corporate Controller
Edward W. Barnholt 52 Director
Leo J. Chamberlain 65 Director
Robert E. Lorenzini 58 Director
Yoshio Nishi 55 Director
Samuel Rubinovitz 65 Director
Dag Tellefsen 53 Director


Mr. Levy co-founded the Company in July 1975 and served as President and
Chief Executive Officer and a Director of the Company until November 1991, when
he became Chairman of the Board of Directors and Chief Executive Officer. Since
May 1993, Mr. Levy has been a Director of Ultratech Stepper, Inc., a
manufacturer of photolithography equipment; since April 1993, a Director of
Network Peripherals, Inc., a supplier of high-performance client-server
networking solutions; and since August 1995, a Director of Integrated Process
Equipment for chemical, mechanical, planarization (CMP) and cleaning of advanced
integrated circuits.

Mr. Schroeder rejoined the Company in November 1991 as President, Chief
Operating Officer and Director. Mr. Schroeder had worked previously at KLA from
1979 through 1987, during which time he held the positions of Vice President of
Operations (1979); Vice President and General Manager, RAPID (1982); Vice
President and General Manager, WISARD (1983); and Senior Vice President (1985).
In July 1988, he became President and Chief Executive Officer of Photon
Dynamics, Inc., a manufacturer of electro-optic test equipment. In mid-1990, he
was appointed President, Chief Operating Officer and Director of Genus, Inc., a
manufacturer of CVD chemical vapor deposition and ion implant equipment. He left
Genus in October 1991, to rejoin KLA.

Mr. Boehlke joined the Company in April 1983 as Vice President and General
Manager of the RAPID Division. Subsequently, he was General Manager of several
divisions and groups of divisions at KLA. In June 1985, Mr. Boehlke was elected
to Senior Vice President and to Executive Vice President in January 1989, and to
Chief Operating Officer in August 1989 until July 1990, when he became Chief
Financial Officer.

Mr. Brienzo joined the Company in July 1989 as Vice President of
Manufacturing, WISARD Division. In October 1990, he was named President of KLA
Acrotec. He served there until September 1994, when he took the position of Vice
President Operations, KLA Japan. In July 1995, he was promoted to Vice
President, Asia Operations and General Manager, KLA Japan.

Ms. DeMars joined KLA in 1988 as Director of Human Resources after a 13
year career in Employee Relations at Monolithic Memories, Inc. and Advanced
Micro Devices. In November 1991, KLA promoted Ms. DeMars to Vice President of
Human Resources, worldwide.


12

13

Mr. Dickerson joined KLA in January 1986 as a Senior Applications Engineer
in the Wafer Inspection Division. In July 1987 he was promoted to Manager of
Applications Engineering for the Wafer Inspection Division, followed by Manager
of Product Planning in July 1989, Director of Marketing in July 1990, and Vice
President of Marketing in July 1992. In July 1993, he was promoted to Vice
President and Director of the Wafer Inspection Business Unit. In July 1994, he
was elected Vice President of the Company.

Dr. Harrell joined the Company in September 1995 as Senior Vice President
and Chief Strategy Officer. Dr. Harrell is also serving until December 1995 as
the Senior Vice President and Chief Strategy Officer at SEMATECH. He has been at
the government/semiconductor industry consortium since October 1992. From August
1987 to September 1992 he served as President of SEMI/SEMATECH.

Mr. McCarver joined the Company in October 1985 as Vice President of Sales
for the RAPID Division, was promoted to General Manager in July 1987, and was
additionally elected to Vice President of the Company in August 1989. In August
1993, he became Vice President of Corporate Sales.

Dr. Richardson joined KLA in June 1993 as Vice President and General
Manager of the Metrology Division, and was elected Vice President of the Company
in July 1994. He served as Vice President and General Manager of Diagnostic
Systems Group of Schlumberger Technologies from September 1985 to November 1991,
and was the Corporate Technology Adviser for Schlumberger Ltd., a manufacturer
of electronic test equipment, from November 1991 to May 1993.

Mr. Ryde joined KLA in June 1980 as Production Control Manager. In May 1981
he was promoted to Materials Manager, followed by Production Manager in January
1982 and Manager, Advance Manufacturing - KLA208 in May 1984. In March 1985, he
became Product Marketing Manager for the RAPID Division. In December 1988, after
leaving KLA for 6 months to pursue other interests, he returned as Director of
EMMI Business within the ATS Division. In January 1989, he was promoted to
Director of Operations - Europe, and in January 1991 became Vice President of
Operations for the ATS Division. He was promoted to Vice President and General
Manager of the Customer Support Division in July 1992 and was elected to Vice
President of the Company in July 1994. In July 1995, he became Vice President of
the U.S. and European Sales Organizations.

Mr. Schnitzer joined the Company in July 1978 as Software Engineering
Manager and was promoted to Director of Engineering of the RAPID Division in
July 1982, and was promoted to Vice President in July 1983. He became Vice
President of Technology and Marketing of RAPID in May 1987, and Vice President
of Advanced Inspection in January 1989. In October 1989, he was promoted to
General Manager of the WISARD Division and, additionally, was elected to Vice
President of the Company in July 1990. In July 1993, he became Group Vice
President of the Wafer and Reticle Inspection Group ("WRInG"), composed of the
former WISARD, RAPID and SEMSpec business units.

Mr. Stoddart joined the Company in December 1991 as Treasurer. Prior to
joining the Company, Mr. Stoddart was Treasurer of General Cellular Corporation,
a cellular telephone service provider, from October 1989 to September 1991 and
previously with The Cooper Companies, Inc., a manufacturer of pharmaceuticals
and medical and implant equipment, as Assistant Treasurer from August 1986 to
July 1988, and then Treasurer from July 1988 to September 1989.

Dr. Tsai joined the Company in June 1984 as a member of the WISARD
Technical Staff and was promoted to Manager of Algorithm Development for the
WISARD Division. From August 1989 until September 1990 he served as Director of
Engineering for WISARD. In October 1990, he was promoted to Vice President of
Engineering for KLA Acrotec, and in July 1994 he was elected Vice President of
the Company and promoted to Chief Technical Officer.

Mr. Turner joined the Company in September 1983 as a Corporate Financial
Analyst, transferred to be the Field Service Financial Administrator of the
RAPID Division in August 1984, was promoted to RAPID Division Controller in
February 1986, transferred to International Division Controller in July 1988,
was promoted to Corporate Controller in December 1989, and was elected Vice
President of the Company in July 1990.

Mr. Barnholt has served as a Director of the Company since September 1995.
From October 1990 to October 1993 he served as Vice President and General
Manager of the Test and Measurement Organization for Hewlett-Packard. In
November 1993 he was promoted to Senior Vice President and General Manager of
the Test and Measurement Organization.



13

14

Mr. Chamberlain has served as a Director of the Company since 1982. He has
also served as a Director of Octel Communications Corporation, a manufacturer of
high performance voice processing systems since March 1989.

Mr. Lorenzini has served as a Director of the Company since 1976. He has
served since January 1993 as Chairman of SunPower Corporation, a manufacturer of
optoelectronic devices, and from October 1988 to January 1993, he served as
President and Chief Executive Officer. Since July 1993, he has also been a
Principal in Dalton Partners, a turn-around management company. He was a founder
and, until December 1986, Chairman of the Board of Siltec Corporation, a
manufacturer of semiconductor materials and manufacturing equipment. Since
October 1986, Mr. Lorenzini has also served as a Director of FSI International,
a semiconductor process equipment manufacturer.

Dr. Nishi has served as a Director of the Company since 1989. He has served
as Vice President and Director of Research and Development for the Semiconductor
Group of Texas Instruments since May 1995. Mr. Nishi served as a Director of
numerous research laboratories at Hewlett-Packard from January 1986 to April
1995. He is a consulting professor in the Stanford University Department of
Electrical Engineering and teaches at Waseda University in Japan as a visiting
Professor of the Materials Science and Engineering Department and the Electronic
Communication Engineering Department.

Mr. Rubinovitz previously served as a Director of the Company from October
1979 to January 1989, and rejoined the Company as a Director in 1990. From April
1989 through December 1993, he served as Executive Vice President of EG&G, Inc.,
a diversified manufacturer of scientific instruments and electronic, optical and
mechanical equipment, and previously as Senior Vice President of EG&G, Inc.
between April 1986 and April 1989. Since April 1989, Mr. Rubinovitz has served
as a Director of EG&G. Since October 1984, he has served as Director of
Richardson Electronics, Inc., a manufacturer and distributor of electron tubes
and semiconductors and, since October 1986, Director of Kronos, Inc., a
manufacturer of electronic time keeping systems.

Mr. Tellefsen has served as a Director of the Company since 1978. He is
General Partner of Glenwood Capital Management, a venture capital firm. Since
January 1983, he has served as a Director of Iwerks Entertainment, a producer of
movie-based specialty theaters, and since 1982, as a director of Octel
Communications Corporation.


Item 11. EXECUTIVE COMPENSATION

The information regarding Executive Compensation as it appears in the Proxy
Statement is incorporated herein by reference.

Item 12. SECURITY OWNERSHIP OF CERTAIN BENEFICIAL OWNERS AND MANAGEMENT

The information regarding Security Ownership of Certain Beneficial Owners
and Management as it appears in the Proxy Statement is incorporated herein by
reference.

Item 13. CERTAIN RELATIONSHIPS AND RELATED TRANSACTIONS

The information regarding Certain Relationships and Related Transactions as
it appears in the Proxy Statement is incorporated herein by reference.



14

15

PART IV

Item 14. EXHIBITS, FINANCIAL STATEMENTS, SCHEDULES, AND REPORT ON FORM 8-K

(a) (1) Financial Statements: See Index to Financial Statements, page 17.
(2) Financial Statement Schedules: See Index to Financial Statement
Schedules, page 17.
(3) Exhibits: See Index to Exhibits, pages 18 and 19.

(b) No reports on Form 8-K were filed during the quarter ended June 30,
1995.



15

16

SIGNATURES

Pursuant to the requirements of Section 13 or 15(d) of the Securities
Exchange Act of 1934, the registrant has duly caused this report to be signed on
its behalf by the undersigned, thereunto duly authorized in the City of San
Jose, State of California, on the 27th day of September 1995.


KLA INSTRUMENTS CORPORATION



By WILLIAM TURNER
------------------------------
William Turner
Vice President/Corporate Controller

Pursuant to the requirements of the Securities Exchange Act of 1934, this
report has been signed below by the following persons on behalf of this
registrant and in the capacities and on the dates indicated.



Signature Title Date

KENNETH LEVY Director, Chairman of the Board, and September 27, 1995
- ------------------------ Chief Executive Officer
Kenneth Levy


KENNETH L. SCHROEDER Director, President September 27, 1995
- ------------------------ and Chief Operating Officer
Kenneth L. Schroeder


ROBERT J. BOEHLKE Vice President Finance September 27, 1995
- ------------------------ and Administration,
Robert J. Boehlke Chief Financial Officer,
and Assistant Secretary


EDWARD W. BARNHOLT Director September 27, 1995
- ------------------------
Edward W. Barnholt


LEO J. CHAMBERLAIN Director September 27, 1995
- ------------------------
Leo J. Chamberlain


ROBERT E. LORENZINI Director September 27, 1995
- ------------------------
Robert E. Lorenzini


DR. YOSHIO NISHI Director September 27, 1995
- ------------------------
Dr. Yoshio Nishi


SAMUEL RUBINOVITZ Director September 27, 1995
- ------------------------
Samuel Rubinovitz


DAG TELLEFSEN Director September 27, 1995
- -------------------------
Dag Tellefsen




16

17

KLA INSTRUMENTS CORPORATION AND SUBSIDIARIES

INDEX TO FINANCIAL STATEMENTS



Page(s) in
1995 Annual
Report*
-----------

Consolidated Statement of Operations for the three years ended June 30, 1995.................... 16
Consolidated Balance Sheet at June 30, 1994 and 1995............................................ 17
Consolidated Statement of Stockholders' Equity for the three years ended June 30, 1995......... 18
Consolidated Statement of Cash Flows for the three years ended June 30, 1995.................... 19
Notes to the Consolidated Financial Statements.................................................. 20-25
Report of Independent Accountants............................................................... 26




INDEX TO FINANCIAL STATEMENT SCHEDULES

*Incorporated by reference from the indicated pages of the 1995 Annual Report
to Stockholders.

Financial Statement Schedules not included in this Form 10-K Annual Report
have been omitted because they are not applicable or the required information is
shown in the consolidated financial statements or notes thereto.



17

18

INDEX TO EXHIBITS


(i) EXHIBITS INCORPORATED BY REFERENCE:

3.1 Certificate of Incorporation as amended(7)
3.2 Bylaws, as amended(7)
4.1 Amended and Restated Rights Agreement dated as of August 30, 1995,
between the Company and First National Bank of Boston, as Rights
Agent. The Rights Agreement includes as Exhibit A, the form of
Right Certificate.(1)
10.15 Statement of Partnership to Triangle Partners dated April 12, 1983(2)
10.16 Lease Agreement and Addendum thereto dated January 10, 1983, between
BB&K Partnership and the Company(2)
10.18 Purchase and Sale Agreement dated January 10, 1983, between BB&K
Partnership, Triangle Partners and the Company(2)
10.35 Research and Development Agreement, Cross License and Technology
Transfer Agreement and Agreement for Option to License and
Purchase Resulting Technology, all dated October 1, 1986, by and
between KLA Development No. 4, Ltd., and the Company(3)
10.45 Distribution Agreement dated July 1990, by and between Tokyo Electron
Limited, a Japanese Corporation, and the Company(4)
10.46 Principal facility Purchase Agreement dated July 1990, including all
exhibits and amendments; Lease Agreement, Termination of Lease,
Lot line adjustment, rights of first refusal, Deeds of Trust(4)
10.47 Joint Venture Agreement between the Company and Nippon Mining Company,
Limited, dated September 18, 1990(5)
10.49 Exercise of Option to Purchase Technology made effective as of
January 1, 1990, by and between KLA Development No. 4, and the
Company(5)
10.54 Micrion Corporation Series E Preferred Stock Purchase Agreement, dated
September 13, 1991(6)
10.67 Amendment of Credit Agreement between Bank of America NT & SA and the
Company, dated March 31, 1994(9)
10.68 Credit Agreement between Bank of America NT & SA and the Company,
dated April 30, 1994(9)
10.71 1990 Outside Directors Stock Option Plan(8)
10.73 Amendment of Credit Agreement between Bank of America NT & SA and the
Company dated December 31, 1994(10)
10.74 1981 Employee Stock Purchase Plan, as amended by the Board of
Directors on October 7, 1994(10)
10.75 1982 Stock Option Plan, as amended by the Board of Directors on
October 7, 1994(10)
10.76 Amendment of Credit Agreement between Bank of America NT & SA and the
Company dated February 15, 1995(11)

(ii) EXHIBITS INCLUDED HEREWITH:

10.77 Lease Agreement, Ground Lease Agreement and Purchase Agreement dated
June 5, 1995, between BNP Leasing Corporation and the Company.
10.78 Lease Agreement and Purchase Agreement dated August 10, 1995, between
BNP Leasing Corporation and the Company.
13 1995 Annual Report to Stockholders. This Annual Report shall not be
deemed to be filed except to the extent that the information is
specifically incorporated by reference.
21 List of Subsidiaries of KLA Instruments Corporation
23.1 Consent of Independent Accountants
27 1995 Year End Financial Data Schedule
- ---------------
(1) Filed as exhibit number 1 to Form 8-A/A, filed effective September 25, 1995

(2) Filed as the same exhibit number as set forth herein to Registrant's Form
10-K for the year ended June 30, 1983

(3) Filed as the same exhibit number as set forth herein to Registrant's Form
10-K for the year ended June 30, 1987

(4) Filed as the same exhibit number as set forth herein to Registrant's Form
10-K for the year ended June 30, 1990

(5) Filed as the same exhibit number as set forth herein to Registrant's Form
10-K for the year ended June 30, 1991


18

19

(6) Filed as the same exhibit number as set forth herein to Registrant's
Form 10-K for the year ended June 30, 1992

(7) Filed as the same exhibit number to Registrant's registration statement
no.33-51819 on Form S-3, dated February 2, 1994

(8) Filed as exhibit number 4.6 as set forth herein to Registrant's Form 10-K
for the year ended June 30, 1991

(9) Filed as the same exhibit number as set forth herein to Registrant's
Form 10-K for the year ended June 30, 1994

(10) Filed as the same exhibit number as set forth herein to Registrant's
Form 10-Q for the quarter ended December 31, 1994

(11) Filed as the same exhibit number as set forth herein to Registrant's
Form 10-Q for the quarter ended March 31, 1995


19